基于Verilog语言的电子秒表设计,使用的FPGA板为Cyclone IV E:EP4CE6E22C8
2019-12-21 20:32:53 3.21MB Verilog 电子秒表
1
这里面包括了AES加密算法使用ModelSim仿真软件和Verilog语言完成的功能模块代码和测试模块代码
2019-12-21 20:27:07 8KB Verilog、AES
1
基于FPGA的音乐实现。在FPGA开发板上播放音乐。通过不同的分频来实现不同乐曲的播放。值得收藏!!!
2019-12-21 20:26:00 118KB FPGA 音乐
1
组成原理实验课的内容 用Verilog语言写的流水线CPU,五级流水
2019-12-21 20:23:33 4.33MB Verilog 流水线CPU
1
基于FPGA实现的AM信号调制, 使用vivado2014 Verilog编程语言实现AM信号调制
2019-12-21 20:18:43 63.69MB Verilog vivado FPGA am调制
1
八层电梯控制器 分模块 顶层用图形 有相应的规则设计
2019-12-21 20:14:23 75KB verilog
1
(1)按给定的数据格式和指令系统,运用“计算机原理”课程学得的知识,在所提供的器件范围内,用vhdl或verilog语言设计一个8位的具有28条指令的CPU模型机系统。 (2)所设计出的计算机的系统的完整逻辑图,整理出设计报告。 (3)要求设计出的计算机系统尽量为最佳方案,有可能的话,尽可能增加其功能。
2019-12-21 20:14:21 1.21MB VHDL CPU
1
用verilog语言写的CPU,支持外部中断与时钟中断,并有中断屏蔽和中断嵌套,提供指令集文档与结构图。其中指令集文档有CPU每一拍控制信号的详细说明。
2019-12-21 20:10:43 35KB verilog 指令集 CPU 中断
1
FPGA 入门经典 夏宇闻老师编写北航出版社出版
2019-12-21 20:08:31 1.73MB Verilog 夏宇闻 FPGA
1
一个带有miniSys指令集的CPU核与若干接口部件的SOC芯片,项目开发主要使用的是QUARTUS软件和verilog语言。
2019-12-21 20:07:02 5KB CPU verilog,quartus
1