在数字通信系统的数据传输中 , 多数通信数据为串行方式, 而大多数处理器要求数据以并行方式存储和处理,所以经常需要将串行传输的数据变换成并行传输, 或者将并行传输的数据变换成串行传输, 这时就需要串并/并串转换器。 在此介绍了串并/并串转换器基本原理, 并通过 Quartus Ⅱ 仿真平台进行仿真验证, 最后下载到 FPGA 芯片 EP1K30QC208⁃2实现了串并/并串转换器的设计, 仿真及实验结果表明采用此设计方案是可行的
2023-04-19 09:29:12 1.38MB FPGA 串并转换
1
很好的高速串行I/O:最近在数字 I/O 领域最热门的一个话题——千兆位级串行通信,这类信号在市场上引起轩然大波。它被广泛采用,从局域网(LAN)设备到尖端医疗成像设备, 再到先进的战斗机技术,不一而足。千兆位级信号迅速成为延伸信息化时代的关键因素。
2019-12-21 22:24:46 5.25MB I/O 高速串
1