基于STM32-F407芯片控制DDS芯片AD9833产生频率可调的三角波、正弦波、方波信号等,1MHz以内,亲测产生波形可靠。
1
简易波形发生器DDs产生正弦波,方波,三角波
2022-06-05 13:35:12 70KB 正弦波 方波 三角波
1
数控多波形信号发生器设计multisim14仿真源码文件+设计文档资料 利用已学的模拟电子技术和数字电子技术知识,采用分立元件设计一个数字控制的多波形(正弦波、方波、三角波)低频信号发生电路,通过软件仿真后进行实物制作,并最终封装成为作品。 基本部分 ①电源:220V,50Hz; ②输出波形:正弦波、方波、三角波; ③输出频率:1KHz; ④输出电压范围:峰峰值Vopp>10V; ⑤方波占空比调节范围:30%~70%; ⑥三角波上升和下降的时间比调节范围:30%~70%; ⑦三种波形数控输出,且输出波形无明显失真。 ⑧在负载电阻上的电压峰峰值Vopp>5V;
正弦波 方波 三角波发生器 数字电路 正弦波 方波 三角波发生器 数字电路 正弦波 方波 三角波发生器 数字电路 RC震荡 电压比较 积分电路
2021-12-22 12:52:30 82KB 正弦波 方波 三角波发生器 一点路喔
1
用Max038实现信号发生器,只需少量阻容元件即可,为加强输出功率,添加后级放大器。
1
使用VHDL语言在FPGA上实现正弦波任意频率的输出波形,只要修改文件中的ROM可实现,不懂可私聊
2021-10-14 11:02:59 4.79MB vhdl fpga
1
在C环境下,基于51单片机,对AD9833进行控制以生成多种波形
2021-07-13 16:27:29 18KB 正弦波 方波 三角波
1
(1)信号发生器能产生正弦波、方波和三角波三种周期性波形; (2)输出信号频率在100Hz~100kHz范围内可调, 输出信号频率稳定度优于10-3; (3)在1k负载条件下,输出正弦波信号的电压峰-峰值Vopp在0~5V范围内可调; (4)输出信号波形无明显失真;
2021-07-01 23:13:04 94KB 正弦波、方波、三角波
1
基于LM741运放设计的四种波形发生器Multisim源文件,包括正弦波、方波、三角波、锯齿波,Multisim10以上版本可打开运行
四种波形发生器电路multisim源文件,可以产生,正弦波,方波,三角波,锯齿波,用的741方案,multisim14版本设计。