单片机波形发生器课程设计报告、内有设计目的、程序、仿真图、实物图、参考文献
2021-06-15 10:08:35 1.36MB 正弦波 方波 三角波 锯齿波
1
波形发生器实验报告,方波,三角波,正弦波之间的转换
2021-06-13 18:37:40 628KB 波形发生器
1
信号发生器_(正弦波,方波,三角波)51单片机_C语言代码
1
FPGA 实现 DDS 正弦波、方波、三角波发生器 Verilog 程序(已验证)Quartus工程文件。 鉴于上次传的只有Verilog代码,怕对于像半年前的我一样的初学者仍然会遇到很大困难,现特把本人课程设计的整个Quartus工程文件一并上传,希望有用。用时只需用Quartus打开工程文件即可编译运行,频率可达16M没问题 FPGA DDS 信号发生器 Quartus工程文件 Verilog
2021-06-09 21:08:31 15.98MB FPGA DDS 信号发生器 Quartu
1
正弦波 方波 三角波 发生器 multisim
2021-05-23 21:20:23 407KB 波形发生器 multisim
1
最完整的altera实现DDS正弦波、方波、三角波发生器Verilog程序用QuartusII工程,本资源是全网最全面的,分为代码和文本二部分。并在友晶科技板子上验证过。
2021-05-18 07:59:47 15.87MB 波发生器 altera 正弦波 方波
1
使用AT89S91和DAC0832实现的正弦波、方波、三角波和锯齿波。在PROTUES下仿真通过。
2021-05-17 15:05:08 19KB 波形发生器、单片机
1
对ADC采集到的数据进行分析,识别波形(正弦波,方波,三角波),通过串口发送识别结果,结构优化的还不太好(就是个种方法堆在一起),个人认为程序思路比较简单。 注意!!!!!!这个方法已经被淘汰了,这种波形识别方法实在是不靠谱,我新上传了一个FFT的波形识别,比这个好多了,这个大家免费下载吧
2021-05-04 00:49:50 5.98MB STM32 波形识别
1
51与adc0832++++信号发生器+可产生正弦波、方波、三角波+含ISIS仿真 51与adc0832++++信号发生器+可产生正弦波、方波、三角波+含ISIS仿真
2021-04-16 09:03:31 135KB 51 信号发生器 isis三角波仿
1
本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。
2021-04-08 00:10:01 91KB 正弦波、方波、三角波
1