本实训项目将帮助学生掌握 CPU 控制器设计的基本原理,能利用硬布线控制器的设计原理在 Logisim 平台中设计实现支持五条指令的 MIPS 单周期 CPU,该处理器能运行简单的内存冒泡排序程序。能利用硬布线控制器以及微程序控制器的原理设计实现 MIPS 多周期 CPU。 第1关:单周期MIPS CPU设计.txt 第2关:微程序地址转移逻辑设计.txt 第3关:MIPS微程序CPU设计.txt 第4关:硬布线控制器状态机设计.txt 第5关:多周期MIPS硬布线控制器CPU设计(排序程序).txt 存储器第七关.txt HUST1.txt 以下内容因还在构建中,还请谅解…… 第6关:单周期CPU单级中断机制设计(构建中) 第7关:单周期CPU多级中断机制设计---硬件堆栈(构建中) 第8关:单周期CPU多级中断机制设计---内存堆栈(构建中)
全部关卡,复制txt,满分过,方便快捷
全部关卡,复制txt,满分过,方便快捷
数字逻辑---交通灯系统设计(HUST),全部12个关卡的答案,绝对正确,麻烦下载后感觉好的家人们给个好评。
2021-12-21 15:02:17 283KB c#
上海交通大学头歌实践教学平台操作系统Chcore实验二、三通关操作
头歌实践教学平台计算机组成原理存储系统设计(HUST)1-7关
单总线CPU设计(定长指令周期3级时序)(HUST) 1 MIPS指令译码器设计 2 定长指令周期---时序发生器FSM设计 3 定长指令周期---时序发生器输出函数设计 4 硬布线控制器组合逻辑单元 5 定长指令周期---硬布线控制器设计 6 定长指令周期---单总线CPU设计
2021-06-10 16:00:46 28KB 计算机组成