1. 掌握基于 Vivado 的数字逻辑电路设计流程 2. 熟练使用 SystemVerilog HDL 的行为建模方法对组合逻辑电路进行描述 3. 熟练使用
2023-04-10 23:15:03 436KB fpga开发 操作系统 windows ubuntu
1
2020级天津大学数字逻辑多数表决器的设计与实现
2023-04-03 18:53:32 748KB 数字逻辑 vivado 多数表决器
1
数电实验课内容,NI mutisim软件按照实验指导书编写创建,连接实现
2021-11-22 18:18:39 926KB 数电,表决器
1
设计一个四人多数表决器电路:当有3人或3人以上同意,表决结果F=1,否则F=0。
2021-09-15 10:09:18 224KB ise
1
本资源为基于STC89C51的7人多数表决器设计,设有主持人键以及复位键,具备十秒倒计时显示功能、投票开始于结束提示功能以及投票结果显示功能,文档里面有完整的硬件仿真电路图以及对应的C源码,仅供参考交流。
2021-06-19 13:49:09 1020KB 51
1
1) 五人多数表决逻辑:多数通过; 2) 在主持人控制下,10秒内表决有效; 3) 采用数码管显示表决10秒倒计时; 4) 表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过; 5) 设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。
2021-06-17 19:31:02 161KB 五人多数表决器
1
要求: 1、7人多数表决逻辑:多数通过。 2、在主持人控制下,10秒内表决有效。 3、采用数码管显示表决10秒倒计时。 4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。 5、设主持人控制键、复位键。            控制键:启动表决            复位键:系统复位 6、表决开始、结束采用声音提示。
1