五人多数表决器(EDA课程设计)

上传者: a258016 | 上传时间: 2021-06-17 19:31:02 | 文件大小: 161KB | 文件类型: DOC
1) 五人多数表决逻辑:多数通过; 2) 在主持人控制下,10秒内表决有效; 3) 采用数码管显示表决10秒倒计时; 4) 表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过; 5) 设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。

文件下载

评论信息

  • u013214984 :
    写的挺好的
    2013-12-20
  • shuangmeng :
    非常好 很有用
    2013-03-16
  • goldlioner :
    VHDL写的~
    2012-05-24

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明