用D锁存器锁存再通过一个8线—3线优先编码器4532对模拟病房号编码,再通过译码器4511译出模拟的最高级病房号,当有病房呼叫时信号通过译码器和逻辑门触发由555构成的单稳态触发器从而发出5秒钟的呼叫声。由呼叫信号控制晶闸管从而控制对应病房报警灯的关亮。以上按触发器复位键S可复位。整个系统可拆分成三个部分:5秒呼叫模块,优先编码显示模块,呼叫显示模块,这些可完成本实验基本功能。
2019-12-21 20:07:16 781KB 医院病人紧急呼叫系统
1