针对于fpga的数字钟设计,vhdl源码,课程设计必备
2022-06-10 14:48:17 2.58MB fpga 数字钟
1
FPGA数字钟的课程设计VHDL源码加报告
2022-01-10 17:46:04 5.65MB FPGA数字钟
1
基于FPGA的数字钟设计,运用Quartus2平台的完整工程文件。
2021-12-08 17:06:59 1.73MB FPGA 数字钟
1
这是vhdl语言编写的数字钟的实现,使用的是altera cyclone4的芯片,简单易懂
2021-12-03 17:25:28 1.78MB vhdl fpga 数字钟
1
1)能够用数码管或液晶屏显示时、分和秒,采用24小时进制; 2)具有校时功能,可以对小时和分单独校时,对分校时时,停止向小时进位; 3) 3)具有闹钟功能,闹钟铃声为自主设计的用蜂鸣器演奏的音乐,音乐演奏时间可以任意设置; 4)具有秒表模式,可进入秒计时,精度为0.01秒;
2021-09-11 09:10:27 2.69MB verilog 数字钟 fpga
1
l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。 2、能利用实验系统上的按钮实现“校时”、“校分”功能; (1)按下“SA”键时,计时器迅速递增,并按24小时循环; (2)按下“SB”键时,计时器迅速递增,并按59分钟循环,并向“时”进位; (3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。 3、能利用扬声器做整点报时: (1)当计时到达59’50”时开始报时,频率可为500Hz; 计满23小时后回零;计满59分钟后回零。 (2)到达59’59”时为最后一声整点报时,整点报时的频率可定为lKHz。 4定时闹钟功能
2021-09-10 21:02:28 3.72MB fpga数字钟
1
资料分为三个文档;为一个数字钟(秒表)的实现过程;包括详细注释;系统时钟为50M;芯片使用的Cyclone II系列的EP2C5t114c8,显示为共阴数码管
2021-06-03 11:08:39 5KB fpga 、数字钟
1
在basys2板上实现数字钟,利用板上的微动开关作时钟的调整,LED 的闪烁作整点报时,12/24 小时显示切换,闹铃功能,清零功能,内含代码可运行,含有详细注释
2020-01-03 11:40:58 393KB FPGA 数字钟 Verilog 代码
1
EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用QuartusII软件进行电路波形仿真,下载到EDA实验箱进行验证。该设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。
2019-12-24 03:20:59 528KB FPGA,数字钟
1
数字钟的设计与实现相关资料,采用了现在最广泛的可编程逻辑门阵列FPGA
2019-12-21 21:31:16 294KB FPGA 数字钟
1