ad9516时钟配置上位机。。。。。。。。。。。。。。。。。。。。。。。
2022-08-20 21:02:58 6.43MB ad9516
1
AD9516 主要由内部VCO,电荷泵,检相器,分频器,控制逻辑电路。外部需要一个 环路滤波器。是一个典型的多输出的模拟锁相环。文档详细介绍各部分配置功能和仿真说明。
2022-07-13 12:03:14 1.11MB AD9516 锁相环 环路参数仿真
1
时钟芯片AD9516,AD9517,AD9518的寄存器配置软件
2022-01-24 20:01:33 247KB 时钟芯片 AD9516_17_18
1
AD9516_3中文版资料 希望能帮到大家
2021-06-28 09:36:28 343KB AD9516_3 中文版 资料
1
AD9516寄存器配置软件,简单好用,高效的配置,让我们少了很多烦恼。
2021-04-26 15:25:15 6.79MB FPGA
1