verilog实现FSK PSK DPSK QPSK调制解调,载波正弦波,DDS和查表法产生
2022-03-31 17:00:32 100.4MB FPGA 调制解调
1
基于FPGA的DPSK调制解调器的全数字实现
2021-11-05 14:58:32 161KB DPSK FPGA 调制解调
1
数字调制解调技术的MATLAB与FPGA实现—Altera_Verilog版_光盘代码
2021-07-18 01:32:43 119.61MB matlab与fpga 调制解调 光盘代码
1
数字调制解调技术,同步技术,滤波技术的MATLAB与FPGA实现的系列书籍ALTERA版本(3本)_杜勇编著
2020-01-04 03:15:09 382.12MB MATLAB与FPGA 调制解调 同步设计 滤波设计
1
基于FPGA的FM调制与解调,资源为FM工程文件和说明文件,软件QuartusII 11.0,语言verilog HDL,调制信号为正弦波,载波信号为正弦波,FM调制直接调频(DDS技术),FM解调非相干解调(微分,取绝对值,低通滤波器)。一个完整的FM 调制/解调系统主要分为模数(AD)转换器、FM 调制器/解调器和数模(DA)转换器这三部分。在本次设计中,信源用正弦波代替,载波同样也是正弦波,在FPGA 内部通过DDS 产生正弦信号来模拟AD 采样数据。在做FM 解调器的实现时,调制器的输出直接在FPGA 内部连接解调器的输入,不经过DAC 输出与ADC 输入,解调器直接输入调制后的离散的波形数据。如图1 所示,直接用数字已调信号代替量化后的模拟已调信号,虚线方框内的部分省略掉了。
2019-12-21 21:49:20 8.01MB FPGA调制解调 FM调制解调 Quartus II
1