(1)4个按键分别设置4位数码管上的显示数字,当按键设置的数字与设置的4位密码一致时,蜂鸣器响,表示锁打开; (2)具备通过按键手动修改数字密码的功能; (3)具备按键消抖电路功能; (4)一定时间内无操作数字显示回到初始状态,数码管显示倒计时。
2023-02-23 19:56:06 6.74MB FPGA课程设计 FPGA密码锁设计
1
基于VHDL语言和FPGA的电子密码锁.caj
2022-05-06 16:40:34 11.06MB VHDL FPGA 密码锁
1
FPGA密码锁程序,采用Quartus软件调试,VHDL语言编写,实现了密码的预设、更改、错误报警功能,密码的输入和确认来自4*4键盘
2022-04-15 13:14:33 515KB fpga开发
很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考很好的参考
2022-02-27 16:03:42 36KB FPGA
1
提供了用VHDL语言编写的,基于Nexys4DDR开发板的密码锁设计,是本人与小组成员完成的暑期学校的作业。
2021-08-05 18:02:51 1.01MB fpga 密码锁 vhdl Nexys4DDR
1
FPGA密码锁程序,采用Quartus软件调试,VHDL语言编写,实现了密码的预设、更改、错误报警功能,密码的输入和确认来自4*4键盘
2021-06-05 21:37:11 514KB fpga/cpld vhdl 密码锁 行列键盘
1
在系统复位后,用户按键6次,输入一个完整的密码串,输入完6次后,系统进行比对,如果发现密码吻合,则开门,否则要求用户继续输入,如果连续3次输入的密码串都是错误的,则系统报警,直到输入正确的密码,报警声停止。
2019-12-21 20:18:42 291KB quartus FPGA 密码锁
1
题目:电子密码锁 内容:设计一个4位串行数字锁 1.开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁。否则进入“错误”状态,发出报警信号。 2.锁内的密码可调,且预置方便,保密性好。 3.串行数字锁的报警,直到按下复位开关,才停下。此时,数字锁又自动等待下一个开锁状态。
2019-12-21 20:11:49 324KB FPGA密码锁
1