W5500,FPGA驱动,实测网速可达3.5M字节/秒,下载直接可用 接口简洁明了
2024-04-02 12:23:52 21.55MB fpga开发 w5500
1
利用FPGA驱动HX1838,并利用数码管显示接收的数据,使用的FPGA为EP4CE6
2023-04-22 20:46:20 3.82MB FPGA HX1838
1
AD7606的FPGA驱动工程,包括数据采集;发的是网盘链接,若链接失效可留言或私信提醒我更新链接;
2023-02-19 16:51:47 114B AD7606 FPGA
1
原创的sja1000的FPGA驱动和应用程序,亲测稳定可用。是我从自己开发的一个完整的工程里把sja1000这部分拿出来单独分享的。
2022-11-11 15:22:08 207.15MB sja1000 fgpa驱动应用程序
1
FPGA驱动LED灯循环,代码简单,适合初学者,内有Modsim文件可直接进行仿真
2022-09-23 17:01:29 54KB fpga驱动led
FPGA驱动RGB灯带WS2812B 工程文件
2022-09-18 15:24:57 9.29MB fpga开发 源码软件
1
之前分享了imx6q上配置EIM总线的方法,通过fpga测试,很多人需要这个源码。
2022-05-26 16:07:21 5KB fpga开发 linux
1
TLC5615 FPGA驱动 Verilog写的,确实能用,支持持续传入数据输出波形而非单一波形
2022-05-19 17:54:04 2KB Verilo da
1
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1