1、设计一个四位算术逻辑运算器,该运算器由函数发生器和全加器构成。其中函数发生器的输出Xi、Yi与输入量Ai、Bi之间的关系如下表-1所示,由表可以看出Yi由S1 S2决定,Xi由F 3决定。 表-1 函数发生器功能表 S1 S2 Yi S3 Xi 0 0 / Ai﹒Bi 0 Ai+Bi 0 1 0 1 Ai+/Bi 1 0 Ai﹒Bi 1 1 Ai﹒/Bi 2、分析所给函数发生器功能表,根据该表设计函数发生器逻辑电路,并将该函数发生器与全加器组合成一个算数逻辑运算单元。 3、通过multisim仿真平台进行绘制原理图及完成仿真,得出所设计的ALU的功能,并绘制该ALU的功能表。
2021-12-11 16:07:04 916KB multisim 算术逻辑运算单元
1
一。 把数据、地址或立即数传送到寄存器、存储单元以及I/O端口,或从内存单元、I/O端口中读取数据。 1.通用传送指令: MOV,PUSH,POP,XCHG,XLAT 2.输入输出指令指令: IN,OUT 3.目的地址传送指令: LEA,LDS,LES 4. 标志位传送指令: LAHF,SAHF,PUSHF,POPF 二 使用算术逻辑运算和移位指令,可以实现对二进制数据的加、减、乘、除等四则运算,与、或、非、异或等逻辑运算,以及移位运算和代码转换运算
2021-10-29 20:57:22 557KB 数据 传送 指令
1
ALU能进行多种算术运算和逻辑运算。4位ALU-74LS181能进行16种算术运算和逻辑运算。 (1).掌握算术逻辑单元(ALU)的工作原理; (2).熟悉简单运算器的数据传送通路; (3).画出逻辑电路图及布出美观整齐的接线图; (4).验证4位运算功能发生器(74LS181)组合功能。
2021-06-22 00:53:26 80KB 74LS181运算和逻辑功能
1
8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已画好。alu自动测试是100分。
2021-03-30 11:37:57 710KB logisim 计算机组成原理 实验
1
.circ文件。华中科技大学计算机组成原理实验二运算器实验Logisim源文件,里面有8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已经连接画好了。alu自动测试是100分。
1
计算机组成原理实验----8位算术逻辑运算ALU,华农信软学院实验报告。你懂的。
1
计算机组成原理实验报告(5个常用实验报告)分别是:(1)算术逻辑运算实验 (2)存储器实验 (3)总线控制实验 (4)数据总线实验一 (5)数据总线实验二
1