FPGA波形发生器内有VHDL 语言完整验证

上传者: zjx68688 | 上传时间: 2021-04-29 20:37:33 | 文件大小: 1.18MB | 文件类型: RAR
好的内有VHDL 语言 任意波形发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等多种波形的电路。本设计是基于FPGA芯片设计的多功能任意波形发生器。

文件下载

资源详情

[{"title":"( 472 个子文件 1.18MB ) FPGA波形发生器内有VHDL 语言完整验证","children":[{"title":"DZ06H2_26.map.summary <span style='color:#111;'> 325B </span>","children":null,"spread":false},{"title":"mux_81.qsf <span style='color:#111;'> 1.69KB </span>","children":null,"spread":false},{"title":"mux_41.tan.summary <span style='color:#111;'> 705B </span>","children":null,"spread":false},{"title":"DZ06H2_26.fit.rpt <span style='color:#111;'> 142.31KB </span>","children":null,"spread":false},{"title":"decoder3_8.tan.rpt <span style='color:#111;'> 8.89KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • olando3132 :
    文件貌似不太能用,需要再次修改。
    2015-03-24
  • syc11122 :
    对我有帮助!谢谢!
    2014-07-13
  • milan91 :
    没太看懂,有点乱!
    2014-05-05
  • 陈冠希老师 :
    文件略有破损,不过还是很感谢
    2014-03-28
  • 晓雨00 :
    不错,有的地方也需要自己弄
    2013-09-05
  • qingchedehushui :
    很好,对我这种初学者有较大帮助
    2012-07-18

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明