verilog 编写的32位加减法器

上传者: feng37140122 | 上传时间: 2021-06-15 10:52:48 | 文件大小: 1.21MB | 文件类型: ZIP
用Verilog编写的32位加减法器,有nclaunch 仿真功能图,有design_vision 的门级仿真结果,代码提供了两种基础加法器架构:逐位进位加法器和超前进位加法器,值得学习。

文件下载

评论信息

  • qq_39578269 :
    才看30行代码,在组合逻辑里面产生的环路,锁存器,真是误人子弟啊
    2018-08-12
  • u013926658 :
    很有借鉴价值
    2016-10-17
  • xiaoyiqing007 :
    不错,很详细,对于初学者很好
    2016-05-10
  • iceleess :
    不错,可以用来解决我的复杂问题
    2016-03-17
  • beizhengren :
    很好 很详细 ,非常实用
    2015-04-27

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明