1.时分秒的正常显示 2.可单独调整时钟的分十秒 3.闹钟功能 4,键功能说明 second--正常显示和闹钟状态时调整秒位 minute--正常显示和闹钟状态时调整分位 hour--正常显示和闹钟状态时调整分位 alarm--开启和关闭闹钟功能(变量alarm_is_ok,1为open,0为close) stop-set-open-close
1
电子时钟,电路图,仿真图,流程图,程序。
1
stm32f10x实时时钟 带表盘
2021-12-30 15:01:47 20.13MB stm32 定时器
c语言编写的51数字时钟程序,含protues仿真,用8位数码管显示,小时—分钟—秒之间一杠可以闪烁,调时间时相对应的位也会闪烁
2021-12-30 14:44:07 93KB c语言 c51 数字时钟
1
这是一个基于51单片机的电子时钟,采用彩屏显示,可模拟作息打铃、可整点报时,可农历、回历转换
2021-12-30 14:19:01 111KB 51单片机 电子时钟
1
本程序是关于旋转书桌的,可以实现书桌的选择,书桌上有茶壶和兔子,不会掉下来
2021-12-30 12:06:23 749KB 时钟
1
蓝牙控制辉光管时钟介绍: 用暑假的空闲时间,断断续续做了一个多月,辉光管时钟基本完成。辉光管使用了 QS30-1,通过四个氖灯显示时间的冒号。每个辉光管下面各安装一个全彩 LED,可控制其显示颜色。 该时钟使用MC34063ADR2G电源芯片,配合 MOS 管和电感等构成 DC-DC 升压电路,将 12V 电压升至 170V,供辉光管使用。通过 HV57708PG 驱动辉光管。LPD6803用于控制全彩 LED。主控芯片采用 STC15F2K60S2,时钟芯片采用 SD2405ALPI,蓝牙电路模块采用 RF-BM-S02(具体详见整个蓝牙控制控制全彩LED灯电路设计)。 支持蓝牙4.0的辉光管时钟,主要特性如下: ■六位数字显示 ■四个氖灯,可独立控制,用于显示冒号、小数点等 ■每个辉光管下安装有一个全彩LED,可调节LED颜色 ■支持闹钟 ■支持夜间模式,夜间自动关闭辉光管 ■可通过蓝牙对时钟进行设置 ■采用SD2405ALPI实时时钟,掉电后时间不会丢失 ■可通过蓝牙对时钟进行控制 ■带有自定义显示模式,可通过蓝牙显示任意数字(例如温度、网速等) 蓝牙指令: TIMShhmmss-设置时间,hhmmss为24时制时间 TIMAhhmmss-设置闹钟,hhmmss为24时制时间 TIMLggrrbb-设置LED颜色,ggrrbb为LED的RGB颜色 TIMMx-打开/关闭闹钟,x=1时打开,x=0时关闭 TIMDxxxxxxdd-进入自定义显示模式并显示内容,xxxxxx为要显示的数字(支持空格),dd控制四个点的显示,范围为0~15,其对应的四位二进制数中的各位分别代表四个点的状态(1为亮,0为灭) TIMT-切换回时钟模式 TIMNx-夜间模式开关,x=0时关闭,x=1时打开。打开夜间模式后,凌晨1点到早上6点辉光管不显示时间 PCB图形展示: 蓝牙控制LED时钟原理图截图如下: 效果图展示: 附件内容包括: 整个蓝牙控制辉光管整个项目设计原理图和PCB源文件,用AD软件打开; 固件(app+硬件驱动代码等); BOM表;
1
这 程序要实现如下的功能: 1、串口1602液晶的显示 2、并口1602液晶的显示 3、1602液晶上显示当前温度 4、1602液晶上显示当前时间和修改当前时间 5、AT24C1024存储器的存取和写入 设计的接口是一个字符串,与这些器件的通信均采用一个等于小于16字符的字符串数组进行。 */ 同时包含proteus仿真源程序
2021-12-29 23:38:51 29KB proteus 51单片机 显示温度 时钟
1
本设计采用的VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级这三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。ASIC是专用的系统集成电路,是一种带有逻辑处理的加速处理器;而FPGA是特殊的ASIC芯片,与其它的ASIC芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点。
2021-12-29 21:06:05 2.32MB fpga
1
设计内容及要求 3.1设计一个有“时”、“分”、“秒”(23小时59分59秒)显示的数字时钟。(“时”和“分”的校准电路部分为选做内容) 3.2用中小规模集成电路组成数字电子钟,进行组装调试,并进行仿真。 3.3画出原理框图和逻辑电路图,写出设计实验总结报告。
2021-12-29 20:57:25 251KB 时钟
1