囊括几乎所有经典的VHDL实例,组合、时序等电路应有尽有。程序精良,参考价值高!
2021-11-05 08:59:52 241KB vhdl,代码
1
可以用于基于cmv2000 CMOS Image Sensor的高速相机设计
2021-11-04 23:03:04 598KB High speed CMOS Image
1
基于VHDL的数字计时器的设计,可以分别计时计秒计分的时钟计数器
2021-11-04 21:27:16 219KB VHDL 数字时钟
1
1、能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。 2、能利用实验系统上的按键实现“校时”“校分”功能: ⑴按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后回“00”; ⑵按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后回“00”,但不向“时”进位; ⑶按下“SC”键时,秒清零; ⑷要求按下“SA”、“SB”或“SC”时均不产生数字跳变(SA”、“SB”、“SC”按键是有抖动的,必须对其消除抖动处理)。 3、能利用扬声器做整点报时: ⑴当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频率可定为500Hz; ⑵到达59分60秒时为最后一声整点报时,整点报时频率可定为1KHz。
2021-11-04 20:55:13 450KB vhdl
1
用VHDL语言编写的关于一位BCD码的加减法的程序
2021-11-04 19:45:36 24KB BCD码 加减法
1
通过PS2键盘输入接口来传递游戏操作控制信号, VGA屏幕显示接口来传递RGB信号在屏幕上显示。通过在ISE上编写VHDL工程代码,读到Basys2开发板上来完成游戏的功能。
2021-11-04 18:25:28 817KB VHDL PS2
1
这是我的数字系统设计,用VHDL编写的手机游戏直升机,分为八大模块,作用根据名字很容易明白。所有代码均通过编译,并成功下载到板子上和点阵板上通过验收。代码旁边附有详细的说明,便于看懂。希望对学习VHDL的人有所帮助。
2021-11-04 18:18:52 262KB VHDL 直升机 手机游戏 VHDL
1
tlk2501-fpga 从 code.google.com/p/tlk2501-fpga 自动导出 TLK2501 是德州仪器 (TI) 的专用收发器,用于许多传统系统。 它通过 8b/10b 对 16 位并行输入的每个字节进行编码,然后以 2-2.5 GHz 的频率序列化 20 位字。 今天,收发器通常托管在 FPGA 内部。 这个小项目提供了几个 VHDL 类,它们在 FPGA 架构中实现了 TLK2501 发送器和接收器接口,允许 FPGA 直接与 TLK2501 通信。 该接口用于 2011-2012 年大型强子对撞机 ATLAS 实验的触发器升级。 一切都使用 Quartus 11.1 和 Altera-Modelsim 中的 Altera Cyclone-IV FPGA 进行了测试。 请注意,由于 Altera 公司的许可限制,无法包含实际 Cyclone IV 收发器
2021-11-04 16:09:23 22KB VHDL
1
使用verilog以及VHDL编写的将串口数据转换为32位并口数据,作为FPGA和DSP接口使用 使用verilog以及VHDL编写的将串口数据转换为32位并口数据,作为FPGA和DSP接口使用
2021-11-03 21:08:55 800KB verilog VHDL 串口 并口
1
fpga实现数字键盘输入,用vhdl语言实现4x4数字键盘输入
2021-11-03 17:11:45 14.05MB fpg VHDL
1