基于FPGA的VGA图象信号发生器设计
2022-12-06 16:04:36 371KB 图象信号 发生器设计
1
这份资料是我在今年秋招找FPGA工作的时候精心整理出来的笔面试题,超级实用,把所有最常问到的有关FPGA和数字IC设计的知识点都整理到了,在后面还整理出了所有的基本的题目和代码,正常来说,应对笔试面试应该没有问题了,我自己就是用的这个。
2022-12-06 16:03:45 424KB FPGA 数字IC设计 笔试面试题 秋招
1
基于FPGA与88E1111的千兆以太网设计.pdf
2022-12-06 14:03:02 339KB pci-e
1
对应文章E3--FPGA实现LVDS收发实例和原理2022-12-03 主要内容包括, Xilinx实现LVDS接口收发的三个实例,以及对应的PDF说明文档 基于xilinx修改后的经过验证的LVDS收发实例(基于xilinx 7系列) 文章对应的图片素材
2022-12-06 13:20:28 144.76MB LVDS FPGA xilinx
1
官方版Spartan-3E FPGA 数据手册:Spartan-3E FPGA Family Complete Data Sheet
2022-12-06 10:23:42 5.14MB spartan-3E
1
VSCode是微软于2015年发布的一款免费开源的现代化轻量级代码编辑器,一款运行于多个操作系统,针对于编写现代Web和云应用的跨平台的源代码编辑器。它具有对JavaScript,TypeScript和Node.js的内置支持,并具有丰富的其他语言(例如C++,C#,Java,Python,PHP,Go)和运行时扩展的生态系统。有良好的程序编写体验。1.46.0版本
2022-12-06 10:17:12 54.76MB vscode
1
基于FPGA的千兆以太网的设计
2022-12-05 19:05:05 1.73MB 基于 fpga 千兆以太网 设计
1
ModelSim电子系统分析及仿真
2022-12-05 18:57:53 46.66MB ModelSim FPGA
1
设计了基于ARM+FPGA的低功耗、高速率、高精度、多通道同步数据采集方案,可以通过监测者的要求完成多通道数据的同步采集并实现实时的网络传输。
2022-12-05 16:34:05 56KB ARM FPGA 高速同步数据采集 文章
1
基于ARM和FPGA的高速数据采集卡的设计与实现,硬件基础上实现数据采集卡的设计。
2022-12-05 16:23:23 4.01MB arm, fpga
1