这是我用Matlab 的HDL Coder工具,然后结合Altera的CycloneII芯片FPGA视频图像开发平台仿真调试,这是最终版的源代码。为省去大家纠结的痛苦,请注意:pixelin是像素输入;x_in,y_in分别是像素点坐标位置;clkenble是时钟使能;width,height分别是图像的宽和高;pixelout是输入像素点对应的均衡化因子,用它*255/(width*height)就是均衡化后的像素值;
2019-12-21 21:36:19 16KB FPGA图像处理 verilog HDL直方图
1
利用SDRAM作为缓存,SDRAM模块为自己写的代码实现。利用OV7670采集,在VGA上显示流畅,清晰,这个资源为全部的工程文件,确保可以使用
2019-12-21 21:33:03 94.38MB FPGA 图像采集 SDRAM
1
本人花了300块钱购买的图像处理教程-带开发版。保证是一手资料,在别处你指定找不到。7. HDL-VIP CMOS 视频图像算法处理 .................................................108 7.1. Bingo 版 HDL-VIP 时序约定.......................................................108 7.1.1. VIP_Image_Processor 接口约定............................................108 7.1.2. VIP_Image_Processor 时序约定............................................ 111 7.2. 【VGA】 RGB888 转 YCbCr444 算法的 HDL-VIP 实现 ..........112 7.2.1. RGB888 转 YCbCr 介绍........................................................112 7.2.2. RGB888 转 YCbCr 的 HDL 实现..........................................113 7.2.3. RGB888 转 YCbCr 功能测试................................................118 7.3. 【VGA】 YCbCr422 转 RGB888 的 HDL-VIP 实现 ..................121 7.3.1. ITU-R BT.656 格式简说 .......................................................121 7.3.2. YUV/YCbCr 视频格式简说 ..................................................123 7.3.3. YUV422 格式的配置与拼接捕获.........................................124 7.3.4. YUV422 转 YUV444 的 HDL-VIP 实现 ..............................125 7.3.5. YUV444 转 RGB888 的 HDL-VIP 实现...............................128 7.3.6. YCbCr422 转 RGB888 功能测试..........................................132 7.4. 【USB】 RGB888 转 Gray 灰度的 HDL-VIP 实现.....................135 7.5. 【USB】 YCbCr422 转 Gray 灰度 HDL-VIP 实现 .....................137 7.6. 【USB】灰度图像的均值滤波算法的 HDL-VIP 实现..............138 7.6.1. 均值滤波算法介绍.................................................................138 7.6.2. 3*3 像素阵列的 HDL 实现 ...................................................138既然选择了 HDL-VIP,便不顾风雨兼程,一路走下去…… 7.6.3. Mean_Filter 均值滤波算法的实现........................................144 7.7. 【USB】灰度图像的中值滤波算法的 HDL-VIP 实现..............149 7.7.1. 中值/均值滤波对比 ...............................................................149 7.7.2. 中值滤波算法的 HDL 实现 ..................................................150 7.8. 【USB】灰度图像的 Sobel 边缘检测算法的 HDL-VIP 实现...157 7.8.1. 边缘检测算法介绍.................................................................157 7.8.2. Sobel 边缘检测算法研究 ......................
2019-12-21 21:13:52 10.38MB fpga 图像处理 视频处理
1
关于FPGA 的逻辑开发,视频图像开发上的入门进阶及图像处理算法开发教程。包含基本外设开发设计详解、CMOS 视频图像算法处理等。
2019-12-21 20:55:08 13.97MB FPGA 图像处理算法 verilo
1
本资料包括《基于FPGA的嵌入式图像处理系统设计》和《基于FPGA的数字图像处理原理及应用》。其中,原理及应用这本书偏重工程应用,详细易懂,有verilog源码好上手。现在把PDF和源码找好了,非常非常全面实用的实战案例,基于FPGA!
2019-12-21 20:53:56 185.55MB FPGA 图像处理 机器视觉 人工智能
1
《Design for Embedded Image Processing on FPGAs》英文原版,详细介绍了用FPGA实现数字图像处理算法的架构和流程,在目前FPGA处理图像领域中,是难得的一本参考书
2019-12-21 20:15:56 9.46MB FPGA 图像处理 嵌入式
1
FPGA 流水线操作实现图像连通域处理标记位置,由于该方法进行的是并行流水线处理,即对图像扫描 一遍就可完成对所有连通区域的识别,因此识别每个连通区域的延时都是固定的,并不会因 为图像中连通区域多,延时就增加。该延时也很小,约扫描十几行图像的时间。
2019-12-21 20:14:11 1.6MB FPGA 图像处理 连通域
1
FPGA 图像采集,采用verilog hdl硬件描述语言设计
2019-12-21 19:39:22 29KB FPGA 图像采集
1