非常好用的秒表,可用于用于测试延时,0.001秒,实时显示
2020-01-03 11:21:49 176KB 秒表
1
1)设计可以显示1~60秒的无存储功能的秒表,最小单位为毫秒。 2)通过按键控制秒表清零、暂停、继续,退出等。
2019-12-21 22:12:04 93KB 数字秒表
1
037 数字秒表 LCD1602显示 开始 停止 51单片机仿真
2019-12-21 21:59:53 708KB 51单片机 仿真 数字秒表
1
1、能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。 2、能利用实验系统上的按键实现“校时”“校分”功能: ⑴按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后回“00”; ⑵按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后回“00”,但不向“时”进位; ⑶按下“SC”键时,秒清零; ⑷要求按下“SA”、“SB”或“SC”时均不产生数字跳变(SA”、“SB”、“SC”按键是有抖动的,必须对其消除抖动处理)。 3、能利用扬声器做整点报时: ⑴当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频率可定为500Hz; ⑵到达59分60秒时为最后一声整点报时,整点报时频率可定为1KHz。
2019-12-21 21:59:41 259KB VHDL 简易数字秒表的设计
1
这是一个数字秒表的verilog代码可实现开始,暂停,同时计2组时间,清零的功能
2019-12-21 21:57:38 958KB 秒表,计时
1
设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。
2019-12-21 21:42:10 1KB Verilog 秒表
1
它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测到start键按下并松开后,停止计时。通过不断检测start键,来确定秒表是否开始计时 2.在秒表计时时,七段数码管能够循环的由00…59,00…59…。 3.开始默认两个七段数码管显示秒, 在检测到select键按下并松开后,数码管切换到显示分钟,再次检测到select键按下并松开后,数码管切换到显示百分之一秒,当再次检测到select键按下并松开后,数码管切换到重新显示秒。 4.在秒表停止时,数码管依然能够正常切换显示百分之一秒,秒,分钟。 5.本实验使用FPGA板:basys 3(建project时,需要选择该芯片的型号)。
2019-12-21 21:30:02 1.3MB VHDL
1
1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒,并且具有复位功能。复位开关一旦打开所有位都为0。 2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。
2019-12-21 21:19:16 208KB 数字表
1
EDA课程设计用,带程序源码,带仿真图等。
2019-12-21 21:06:15 531KB EDA;VHDL
1
EDA课程设计完整版---数字秒表(设计报告+仿真文件+硬件实现+仿真截图) 这是本人的课程设计,内容详尽,并包括下载到实验箱生成的文件还有相应截图。
2019-12-21 20:58:20 9.74MB 数字秒表 课程设计
1