基于Matlab的PI/4 DQPSK的调制解调源代吗,希望对大家有用
2019-12-21 18:55:32 2KB PI/4 DQPSK
1
这是关于AM调制解调系统仿真用matlab实现的代码,加了噪声的,写的子函数也都在压缩包里面。
2019-12-21 18:55:15 5KB AM 调制解调 matlab
1
国外比较经典的MATLAB 关于QPSK调制、解调代码,每一模块都非常详细,程序书写较为规范,可作为初学者学习范例。对于想了解DQPSK工作原理的技术开发人员,也有一定帮助。
2019-12-21 18:54:50 5KB DQPSK MATLAB
1
AlteraVerilog版!!! AlteraVerilog版!!! AlteraVerilog版!!! 不是VHDL版的
2019-12-21 18:54:44 85.77MB Verilog
1
QPSK基本的调制解调MATLAB仿真,再无编码条件下,实行简单的调制解调,仿真结果有调制前的信号图,调制后的信号图,以及误码率
2019-12-21 18:54:13 2KB 通信工程 调制解调 QPSK
1
通过随机数产生二进制码元,然后进行调制。再将调制信号加入高斯噪声,通过带通滤波器进入解调模块最后抽样判决重建码元。并比较重建后的码元与重建前的区别画出误码率曲线
2019-12-21 18:53:53 1KB matlab
1
用matlab里面的simulink模拟的数字基带系统调制解调的全部过程,还添加了眼图模块,滤波器采用的是开根号升余弦滤波器,可以通过此文件模拟数字基带信号调制解调全过程,包括波形,噪声,眼图等
2019-12-21 18:53:33 55KB 数字基带 simulink 眼图 调制解调
1
DQPSK 调制解调技术是在 QPSK 基础上发展起来的一种技术,其在发射方 采用差分编码, 对原来的传递信息码进行一次相对编码, 利用载波相位的相对 变化来表示传输信息。主要任务是研究数字信号调制技术中的四进制数字信号的 调制调解,熟练掌握差分四相相移键控(DQPSK)在信号传输中的应用,以及其性 能特点。然后着重对四进制数字信号的调制调解进行研究,重点掌握其中差分四 相相移键控(DQPSK)的原理,并对其在 MATLAB 平台进行设计与仿真。
2019-12-21 18:52:52 2.36MB 调制解调器 DQPSK MATLAB
1
DSB调制解调仿真,对于写毕业论文的人来说应该有用
2019-12-21 18:52:24 943B MATLAB DSB
1
本文介绍了QAM调制、解调和判决原理,讨论了QAM的误码率性能,并在SystemView下对系统进行了仿真,观察并分析了原始输入信号、调制信号、解调信号、星座图以及QAM信号的功率谱图。
2019-12-21 18:51:38 213KB QAM调制解调
1