EP2C5T144+RTL8201CL+IS61LV2568L百兆网口板 protel硬件原理图+PCB文件,,板子大小为148x118mm,双面布局布线,FPGA芯片选用cyclone系统中的EP2C5T144,百兆网口PHY芯片选用RTL8201CL,SRAM芯片选用IS61LV2568L-8T,网口变压器为H1102,双路RJ45接口,4路2.54mmIDC16的牛角插座。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
ALTERA CPLD(EPM1270)_ISA控制卡protel硬件原理图+PCB文件,采用2层板设计,板子大小为157x75mm,双面布局布线,CPLD芯片选用MAXII系统中的EPM1270,标准PC104(ISA)总线接口,应用于工业领域,光电隔离器件选用光耦PS2801-4,RTC选用自带电池可用十年的DS12887模块,对外接口为DB-37/F。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
三星 ARM9 S3C2410A_SDRAM核心板 protel硬件原理图+PCB文件,,采用6层板设计,板子大小为81x81mm,双面布局布线,ARM9处理器选用三星中的S3C2410A芯片,SDRAM选用K4S561632D-TC/L75,NOR FLASH选用SST39VF1601/1602,NAND FLASH选用K9F2808U0C-YCB0/YIB0, 网口PHY芯片选用CS8900A,Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用.
PCIE X1 FPGA(EP2C8F256C8)+DSP(TMS320F28335)开发板protel硬件原理图+PCB文件,,采用8层板设计,板子大小为145x80mm,双面布局布线,FPGA芯片选用cyclone系统中的EP2C8F256C8,DSP芯片选用TMS320F28335,pcie桥接芯片选用pex8311,标准的PCIE X1板卡。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
DSP(TMS320F28335)+FPGA(EP1C3)开发板protel硬件原理图+PCB文件,,采用4层板设计,板子大小为238x80mm,双面布局布线,DSP选用TI的TMS320F28335作为核心控制部件,FPGA选用ALTERA公司的CYCLOEN系列中的EP1C3T144C8芯片,USB转串口芯片选用PL-2303HXD,OLED显示屏选用M00538。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
FPGA(EP2C70)+MCU(CY7C68013A)_SRAM_USB_7816开发板protel硬件原理图+PCB文件,采用6层板设计,板子大小为155x75mm,双面布局布线,FPGA芯片选用cyclone2系统中的EP2C70F672,MCU芯片选用CY7C68013A-100PIN,FRAM芯片选用MR2A16A,IC卡接口芯片MAX1840,电源芯片UCC383-5,LT1764AEQ等。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用
FPGA(EP2C70)+MCU(CY7C68013A)_USB_JLINK开发板protel硬件原理图+PCB,采用6层板设计,板子大小为115x75mm,双面布局布线,FPGA芯片选用cyclone2系统中的EP2C70F672,MCU芯片选用CY7C68013A-100PIN,FRAM芯片选用MR2A16A,JLINK OB MCU芯片为STM32F103C8T6,电源芯片LT1764AEQ等。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用。
FPGA(XC3S1600E)+MCU(CY7C68013) XC9572开发板protel硬件原理图+PCB,采用4层板设计,板子大小为132x82mm,双面布局布线,FPGA选用xilinx的XC3S1600E-4FG320I,CPLD芯片选用xilinx的XC9572-7PC44C(44),MCU芯片选用CY7C68013-PVC,FRAM芯片选用CY7C1049BNV33,电源芯片为LM350-ADJ。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用。
XILINX FPGA(XC5VLX155T)_SRAM_USB发板protel硬件原理图+PCB,采用14层板设计,板子大小为260x200mm,双面布局布线,FPGA芯片选用Xilinx高端系列V5中的1片 XC5VLX155T和2片XC5VLX110T,3款USBPHY 接口芯片选用CY7C68000、USB3300或 ISP1106,sram芯片选用IS61LV51216。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考
EPM240T100C5核心板 cpld最小系统protel硬件原理图+PCB文件,采用2层板设计,板子大小为132x82mm,双面布局布线,CPLD芯片选用MAX2的EPM240T100C5,USB转串口芯片CH340G,MICRO USB接口,供电可以直接用安卓手机充电线接PC机。Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。