大家好,我是复旦大学的研究生。本资源是一个基于VHDL语言的M位除以N位的除法器。其中M/N ,商M位,余数是N位的。以Moim设计验证和验证。压缩包里有除法器的源文件和testbench。可加入工程,直接测试。鄙人测试都是无错误的。愿尊驾下载后,积极评价,以便于相互交流,学习。O(∩_∩)O谢谢.2015年5月7日于芬兰,图尔库。
2021-09-29 08:47:47 2KB 任意N位 M位 除法器 VHDL实现
1
自写的 高精度除法器 18位输入36位输出
2021-09-22 15:34:20 5KB 高精度除法器
1
为提高除法计算的速度,提出了新的基-16算法的高速除法器算法,并以专用集成电路设计方法实现。与 MIPS处理器中使用的除法器相比,电路最大延迟减少了27%,计算所需时钟周期数减少了68%,速度性能改善了 77%左右。给出了电路的其他性能指标。该电路适用于对运算速度要求非常高的场合。
2021-09-18 19:13:29 31KB 除法器
1
手写的除法器IP,没有调用vivado IP核,占用资源极少,经测试可用...包含两个verilog文件
2021-09-18 18:32:37 2KB 手写除法器IP
1
线性反馈移位寄存器,介绍了移位寄存器在除法器,编码器,解码器中的使用!
2021-09-10 11:04:59 199KB 线性反馈移位寄存器
1
实现浮点数乘法和除法运算,采用硬件描述语言高效的实现了浮点除法运算
2021-08-22 17:19:09 80KB 浮点 verilog
1
使用verilog语言,通过移位减方式实现64位除以32位数据的除法器,所需资源少,运算速度约64个时钟周期,可方便的自动修改运算位数
2021-08-14 11:34:29 1KB fpga verilog
1
已调试通过。修改parameter就可以实现N位除法
2021-08-06 01:18:35 4KB verilog N位 除法器
1
阵列除法器是一种并行运算部件,采用大规模集成电路制造,与早期的串行除法器相比,阵列除法器不仅所需的控制线路少,而且能提供令人满意的高速运算速度。阵列除法器有多种形式,如不恢复余数阵列除法器、补码阵列除法器等等本实验设计的是加减交替阵列除法器
1
用元件编写除法器,文件里面有详细的芯片链接和报告模板
2021-07-15 13:45:04 659KB 组成原理
1