老师布置的实习任务,MSK调制实习报告-通信系统实习报告,除信号源和显示器,器至少20个原件组成,内含图文及相关参数设置,非常详细,我们实习的内容,原创的报告...
2022-01-06 16:51:57 2.3MB MSK调制
1
内涵MSK的调制和解调程序,经过测试,可以运行。三种方法分析。
2022-01-05 22:40:45 9KB MATLAB MSK 调制解调
1
msk调制仿真已经实现,自己编写的,可以看看哈
2021-12-31 16:38:52 2KB msk
1
最小频移键控(MSK)调制器.svu,可以直接通过Systemview软件打开
2021-12-17 20:13:59 23KB 移动通信
1
Verilog 实现MSK调制器,包括各个子模块的代码
2021-08-26 18:57:31 1.93MB Verilog MS看
1
实现对基带信号进行MSK调制和解调(理想信道下)
2021-07-22 19:00:16 4KB MSK调制解调
1
对MSK,GMSK调制方式的详细数学描述,主要是理论部分的讲解。
2021-06-18 10:24:43 2.51MB 通信 GMSK MSK 调制方式
1
使用matlab仿真程序实现了GMSK、MSK、OQPSK、QPSK的调制与解调。程序能够良好的运行,并且给出了调制解调时候的波形图。可以作为研究数字调制技术的参考。
2021-06-01 11:11:22 2KB GMSK与MSK
1
本文详细描述了从设计到时序仿真的整个过程 具有FPGA基础的设计人员,可以据此写入相应的芯片 实现程序的模块化
2021-05-16 19:53:09 671KB MSK 调制与解调 Verilog 设计 仿真
1
msk调制顶层模块msk_top.v module msk_top(clk_100MHz, clk_2MHz, clk_1MHz, reset,x,msk_out); input clk_100MHz; input clk_2MHz; input clk_1MHz; input reset; input x; output [32:0] msk_out; wire b_i, b_q; wire [15:0] sine, cosine; //调用亟待数据处理模块 S2p s2p( .clk(clk_2MHz), clk_div2(clk_1MHz),reset(reset), .x(x),.b_i(b_i),.b_q(b_q)); //调用I,Q路加权模块iqsin.v Iqsin iqsin( .clk(clk_100MHz),. reset(reset), .b_i(b_i),.b_q(b_q), .SINE(sine),COSINE(cosine)); //载波调制相加模块 iqmodu.v Iqmodu iqmodu( .clk(clk_100MHz), .i_i(cosine),.q_q(sine),.msk_out(msk_out)); endmodule
2021-05-06 19:20:01 1.93MB verilog msk 调制器
1