此为Quartus软件环境下设计四人表决电路的电路逻辑图和仿真波形图
2022-04-26 15:24:04 251KB 表决器
1
vhdl硬件设计语言 四输入表决器电路 二位二进制乘法器电路 一位二进制全减器等源代码及仿真波形 MAX plus II 仿真波形
1
本设计是基于Quartus II 13.1 的Verilog编程代码,设计功能是三人表决器
2022-01-04 11:13:18 2.89MB Verilog FPGA 表决器 Quartus
1
所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4 时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED 表示,若表决的结果为同意,则LED 被点亮;否则,如果表决的结果为反对,则LED 不会被点亮。同时,数码管上显示通过的票数。
2021-12-30 14:08:29 1KB 七人表决器
1
EDA作业 设计七人表决器 计数器 计数器是用4位加法器和74374设计的,七人表决器一位加法器实现
2021-12-26 15:18:52 377KB 计数器 七人表决器
1
实验一 7段数码显示译码器设计………………………………P3 实验二 8位硬件加法器设计……………………………………P7 实验三 7人投票表决器Verilog HDL设计……………………P11 实验四 巴克码信号发生器……………………………………P16 实验五 多功能数字钟设计……………………………………P22 实验六 状态机实现的ADC0809采样控制电路………………P29 实验总结与感悟 …………………………………………………P36 实验一 7段数码显示译码器设计 一、实验目的 (1)学习使用Verilog HDL语言设计简单组合逻辑电路。 (2)学习使用case语句来描述真值表。 二、实验设备与器材 GW-PK2 EDA实验箱一台。 三、实验内容及实验步骤 1.实验原理及内容 7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD码译码,然而数字系统中的数据处理和运算都是 2 进制的,所以输出表达都是 16 进制的,为了满足 16 进制数的译码显示,最方便的方法就是利用译码程序在 FPGA/CPLD中来实现。 2.实验步骤 (1)用Verilog HDL设计一个共阴数码管的译码电路,用case语句描述7段译码器的真值表。 (2)编译、综合、适配、下载,验证结果。 (3)进行功能仿真。 (4)设计提示:建议选实验电路模式6,用数码8显示译码输出(PIO46~PIO40),键8、键7、键6、键5四位控制输入,硬件验证译码器的工作性能。注意,在仿真中,4位输入都必须用总线方式给数据。 ………………………………………………………………………………………… 好用不贵,祝您用餐愉快~
2021-12-15 22:08:31 3.4MB 合工大 FPGA 译码器 加法器
1
本表决器共八个按键,用STC89C51单片机芯片为核心,LED显示器等设计的三人表决器课程设计。
2021-12-15 16:45:23 1.02MB 表决器
1
设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,提案通过,同时A具有否决权
2021-12-15 14:41:25 1.49MB EDA
1
硬件描述语言(vhdl)上机相关实验,包括五个必须完成的实验,包含全部代码和实验波形文件
2021-12-13 22:39:56 397KB 时钟 全加器 序列信号 七人表决器
1
数字电路与逻辑设计实验,用Quartus 2软件VHDL语言实现的四人表决器
2021-12-09 17:26:24 113KB vhdl Quartus2 四人表决器
1