基于vhdl的出租车计费器eda设计 出租车行业得到空前发展
2021-12-29 00:12:50 1.81MB 出租车计费器
1
本文借助QuartusⅡ9.0软件,基于大规模可编程逻辑器件FPGA,利用Verilog HDL 语言,进行出租车计费器设计。该出租车计费系统包括计数器分频模块、数码管分频模块、计程模块、计时模块、计费模块、控制模块、数码管显示模块和顶层主控模块共八大部分;分起步、里程、等待三个阶段。该出租车计费器能够自行设定计费标准,借助CycloneⅡ系列EP20C8Q208C8N芯片的FPGA开发板,进行功能仿真,并且利用外围电路和开发板的结合,能够进行相应的硬件测试。测试结果由2片4个相连的7段共阳极数码管驱动电路来显示。   随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定、计费准确;同时还要求其具有计价标准的灵活设定、营运额统计、密码设定等功能。目前,出租车行业面临油价上涨和夏季空调费调整的问题,修改计价标准随着油价的上涨势在必行,所以出租车计费器计价标准的灵活设定成为计费器不可缺少的一部分。因此性能稳定、便于检定、维护及修改参数成为出租车记费器的关键技术。出租车计价系统较多的是利用单片机进行控制,但较易被私自改装,且故障率相对较高,不易升级。而FPGA 具有高密度、可编程及有强大的软件支持等特点,所以设计的产品具有功能强、可靠性高、易于修改等特点。   随着FPGA(Field Programmable Gate Array) 等可编程器件的出现,解决了传统电子设计不能完成的任务。利用FPGA 来实现出租车计费器,可行性很高,电路简单,大大减少外围器件,可以用软件完全仿真,灵活度高,而且编好的系统可以在不同的FPGA 芯片上通用。同时由于FPGA 的功能完全取决于语言Verilog HDL 编写的程序,不拘泥于某种芯片的特殊指令 ,更加提高了产品的更新换代能力。FPGA 在电子设计领域中价格低廉, 有良好的发展趋势, 它的出现必定会占领大部分数字器件市场。   本设计选用的FPGA 芯片,主要是Altera 公司的CycloneⅡ 系列芯片EP20C8Q208C8N。使用软件QuartusⅡ9.0 和超高速硬件描述语言Verilog HDL ,从而实现计价标准的灵活设定。使得该出租车计费器的特点是计费准确、性能稳定、分阶段(起步、里程、等待)自动计费。
2021-12-18 23:12:53 955KB CPLDFPGA
1
基于VHDL的出租车计费器实现,代码解释详细,课程设计通过
2021-12-12 15:02:02 9.12MB VHDL出租车
1
FPGA的出租车程序的源代码,经过调试是可以正常运行的,希望需要的同学可以得到帮助!
2021-11-22 13:22:32 64KB FPGA 出租车计费器 源程序
1
百度文库下载下来的已经打包,连续注册帐号6个帐号才在百度文库那里下下来的!10分而已,你自己看着办!
2021-11-14 21:52:55 2.73MB 单片机 计费器 论文
1
此计费器与事实相符,可直接用于出租车 --计费模块 library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.ALL; use ieee.std_logic_unsigned.all; entity JIFEI is port(start,stop,js:in std_logic; LUCHEN:in INTEGER RANGE 0 TO 999; CLK_1:IN STD_LOGIC; DENGDAI:OUT INTEGER RANGE 0 TO 9; CHEFEI:out integer RANGE 0 TO 9999); end JIFEI; architecture BEHAV of JIFEI is signal CF:integer RANGE 0 TO 9999; signal JSH1:integer range 0 to 179; signal JSH2:integer range 0 to 59; SIGNAL DD: INTEGER RANGE 0 TO 9; begin process(LUCHEN,CLK_1,start,stop,js) begin IF CLK_1'EVENT AND CLK_1='1' THEN if stop='1' then CF<=0; DD<=0; end if; IF start='1' and stop='0' then if js='1' then if LUCHEN<2 then CF<=60; END IF; if 2<=LUCHEN AND LUCHEN<10 THEN CF=10 THEN CF<=CF+21; END IF; END IF; IF JS='0' THEN IF JSH1=179 THEN JSH1<=0; CF<=CF+7; ELSE JSH1<=JSH1+1; IF JSH2=59 THEN DD<=DD+1; JSH2<=0; ELSE JSH2<=JSH2+1; END IF; END IF; END IF; END IF; END IF; end process; DENGDAI<=DD; CHEFEI<=CF; end BEHAV;
2021-10-27 09:21:30 4.13MB 出租车计费器
1
基于FPGA的出租车计费器的设计,整个论文 详细
2021-10-13 19:47:31 4.01MB 出租车计费器 FPGA
1
EDA出租车计费器课程设设计出租车计费器课程设计实验报告 一 、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、界面友好,使用方便。 3、程序精炼,结构清晰。 三、进度安排 第九周 星期二: 课题讲解,查阅资料   星期二: 总体设计,详细设计 星期三: 编程,上机调试、修改程序 第十周 星期四: 上机调试、完善程序 第十二周 星期六-星期天:撰写课程设计报告
2021-09-26 16:22:56 2.74MB 课程设设计
1