本文档包含数字时钟的proteus仿真电路和基于8951的c语言测试程序,非常适合初学单片机者使用
2021-06-28 15:56:39 37KB 数字时钟
1
org 0000h sjmp main org 000bh ljmp t0int org 0030h main: mov sp,#60h mov tmod,#01h mov th0, #3ch ;5000微秒 mov tl0,#0b0h setb et0 ;允许t0中断 setb tr0 ;显示t0 setb ex0 ;允许外部中断0 setb ex1 ;允许外部中断1 setb ea ;开总中断 mov R0,#20 mov 26h,#59 mov 27h,#50 mov 28h,#23 re: call disp sjmp re disp: mov A ,26h mov B,#10 div AB ;取余 mov 20h,B ;秒个位 mov 21h,A ;秒十位 mov A,20h mov dptr,#tab movc A,@A+dptr setb p2.5 mov p1,A ;显示秒个位 call del ;延时 clr p2.5 mov A,21h mov dptr,#tab movc A,@A+dptr setb p2.4 mov p1,A ;显示秒十位 call del ;延时 clr p2.4 mov A ,27h mov B,#10 div AB ;取余 mov 22h,B ;分个位 mov 23h,A ;分十位 mov A,22h mov dptr,#tab movc A,@A+dptr setb p2.3 ;选数码管 mov p1,A ;显示分个位 call del ;延时 clr p2.3 mov A,23h mov dptr,#tab movc A,@A+dptr setb p2.2 ;选数码管 mov p1,A ;显示分十位 call del ;延时 clr p2.2 mov A ,28h mov B,#10 div AB ;取余 mov 24h,B ;时个位 mov 25h,A ;时十位 mov A,24h mov dptr,#tab movc A,@A+dptr setb p2.1 ;选数码管 mov p1,A ;显示时个位 call del ;延时 clr p2.1 mov A,25h mov dptr,#tab movc A,@A+dptr setb p2.0 ;选数码管 mov p1,A ;显示时十位 call del ;延时 clr p2.0 ret t0int: mov th0,#3ch mov tl0,#0b0h ;5000us djnz R0,rere mov R0,#20 ;1s inc 26h mov A,26h cjne A,#60,rere ;秒满60跳 mov 26h,#0 ;;清零 inc 27h mov A,27h cjne A,#60,rere ;分满六十跳 mov 27h,#0 ;清零 inc 28h mov A ,28h cjne A,#24,rere ;时满24跳 24小时制 mov 28h,#0 ;清零 rere: reti tab:db 0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h DEL:MOV R7,#0AH ;延时子程序 AA:DJNZ R7,AA ret end
2021-06-28 15:56:05 43KB 时钟 仿真
1
时钟功能:完成分/时的正确计数并显示,由于数码管数目的限制,用发光二极管提示秒; 闹钟定时:可设置一组闹钟,并可在需要时将其关闭,利用二极管的发光代替扬声器的发声; 时钟校时:可以分别对分/时钟进行调整; 整点响铃:整点前十秒起发出提示音,利用二极管的发光代替扬声器的发声。
1
1.1课程设计任务及要求 1.具有时、分、秒计时功能。可以设置计时开始的时间; 2.画出设计的流程图(各功能模块)、波形仿真图; 3.主要的数据结构、完成本课程设计所用方法及其原理的简要说明; 4.编写设计报告,写出全过程,附上有关资料和图纸,有心得体会;
1
用单片机制作建议数字时钟,这个是单片机所需烧进去的程序
2021-06-26 14:11:07 13KB 建议数字时钟
1
本资料归于网络整理,仅供参考学习用。如有侵权,请联系删除!! qq:1391074994 1. 资料都是有论文和程序的,程序大部分是quartus的工程,有几个是ise或者vivado的工程,代码文件就是里面的V文件。 2. 我收集的每个小项目都会开源出来,欢迎关注我的博客并下载学习。 3. 每个项目的实际的项目要求和实现的现象我就不挨个去描述了,太多了!!40多个小项目。(一个包里面只有一个小项目哈) 4. 有的项目可能会有多个程序,因为用的代码有点差异,比如密码锁,就会分显示的数码管的显示个数的不同以及用的是verilog个vhdl 的差别: 5. 报告的话博客专栏里面只是展示了一小部分。链接:https://blog.csdn.net/weixin_44830487/category_10987396.html?spm=1001.2014.3001.5482 这个没有报告,只有代码。
2021-06-26 09:02:38 2.67MB fpga
1
数字逻辑课程设计 数字时钟 计算机科学技术 用Verilog HDL对CPLD进行编程,并增加适当的电子元件,设计一个数字电子时钟电路,要求 1.具有“时”“分”的数字显示功能,并可以进行时间校准 2.用发光二极管设计一个“表盘”,通过对应发光二极管的依次点亮来模拟表盘显示时间。
2021-06-25 20:31:04 8.83MB 数字逻辑 课程设计 数字时钟 Verilog
1
用简洁的ewb程序设计的简易数字时钟,可以实现计时,整点报时,闹钟的简易功能。程序内部用各种计数器,三态门等基础数字电路搭建而成
2021-06-24 23:02:45 67KB 数字时钟 ewb
1
创意刻度尺圆形数字时钟代码是一款自动获取本地时间的实时时钟代码。
2021-06-24 21:04:38 45KB 刻度尺 数字时钟 创意时钟
1
用6片74290做的数字钟 能调时和调分钟 是打包后的线路图 线路比较简单
2021-06-24 17:44:32 32KB 74290 数字钟
1