中国矿业大学-硬件课程设计-------------竞赛抢答器设计
2019-12-21 20:39:58 1.23MB 竞赛抢答器 中国矿业大学 硬件报告
1
湘潭大学内部资料!数字式竞赛抢答器设计报告。
2019-12-21 20:30:52 246KB 抢答器
1
基于FPGA八路抢答器设计,详细设计,步骤,文字表述,电路图都很清晰 WORD版可以直接打印
2019-12-21 20:26:19 245KB 八路抢答器
1
基本功能 ① 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ② 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③ 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 •扩展功能 ① 抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时蜂鸣器发出声响。 ② 参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手 的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③ 如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。
2019-12-21 20:18:16 2.7MB 抢答器
1
该代码为基于VHDL的四人抢答器设计。 当有一位参赛选手首先按下抢答器开关时,相应显示开关编号,此时抢答器不接受其他信号。电路还具有时间控制功能,要求回答问题时间小于100s时间显示为倒计时,当达到限定时间时发出提示信号。
2019-12-21 19:58:51 3.2MB VHDL q'q'q'q'q'
1
设计的要求: 1、每组设置一个抢答按钮供抢答者使用,按钮的编号与选手的编号相对应。 2、给竞赛的主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,当主持人启动“开始”键后要求定时器立即进行减计时,并用显示器进行显示。 5、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到支持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,定时显示器上显示0 0。
2019-12-21 19:58:50 728KB 数字电路 抢答器设计
1
本系统是一个可供4人抢答的抢答器,当主持人按下禁止抢答的开关时,抢答被禁止.如果在此期间选手将不能抢答;当控制开关断开时抢答允许,此时若有人抢答,数码显示器将显示抢答者的组数,提示主持人抢答已经完成.
2019-12-21 18:50:08 422KB 数电课程设计 抢答器
1
8路抢答器,用数电芯片做成,具有报警功能,当有人抢答或者是定时器定时到,报警
2019-12-16 17:20:54 340KB 抢答器
1