一、 设计目标 设计目的: 设计一个含有36条指令的MIPS单周期处理器,并能将指令准确的执行并烧写到试验箱上来验证 设计初衷 1、理解MIPS指令结构,理解MIPS指令集中常用指令的功能和编码,学会对这些指令进行归纳分类。 2、了解熟悉MIPS体系中的处理器结构 3、熟悉并掌握单周期处理器CPU的原理和设计 4、进一步加强Verilog语言进行电路设计的能力 二、实验设备 1、装有xilinx ISE的计算机一台 2、LS-CPU-EXB-002教学系统实验箱一台 三、实验任务 1.、学习 MIPS 指令集,深入理解常用指令的功能和编码,并进行归纳确定处理器各部件的控制码,比如使用何种 ALU 运算,是否写寄存器堆等。 2、单周期 CPU 是指一条指令的所有操作在一个时钟周期内执行完。设计中所有寄存器和存储器都是异步读同步写的,即读出数据不需要时钟控制,但写入数据需时钟控制。 故单周期 CPU 的运作即:在一个时钟周期内,根据 PC 值从指令 ROM 中读出相应的指令,将指令译码后从寄存器堆中读出需要的操作数,送往 ALU 模块,ALU 模块运算得到结果。 如果是 store 指令,则 ALU 运算结果为数据存储的地址,就向数据 RAM 发出写请求,在下一个时钟上升沿真正写入到数据存储器。 如果是 load 指令,则 ALU 运算结果为数据存储的地址,根据该值从数据存 RAM 中读出数据,送往寄存器堆根据目的寄存器发出写请求,在下一个时钟上升沿真正写入到寄存器堆中。 如果非 load/store 操作,若有写寄存器堆的操作,则直接将 ALU 运算结果送往寄存器堆根据目的寄存器发出写请求,在下一个时钟上升沿真正写入到寄存器堆中。 如果是分支跳转指令,则是需要将结果写入到 pc 寄存器中的。
2019-12-21 20:43:53 2.55MB 计算机组成原 龙芯中科
1
自己写的,基于MIPS架构的单周期CPU。。
2019-12-21 20:32:16 691KB MIPS FPGA 单周期 CPU
1
使用Verilog实现16位单周期CPU,并且进行PCPU的软件仿真 之前上传的那个是32位的,传错了不好意思
2019-12-21 19:55:59 8KB MIPS 16位
1
使用Verilog实现16位单周期CPU的设计
2019-12-21 19:55:59 826KB 单周期CPU
1
单周期CPU的设计,使用结构级语句与描述级语句构建寄存器堆、ALU、CONUNIT等模块,支持12条指令:add、sub、j、bne、bnq等
2019-12-21 19:52:04 377KB 单周期CPU veilog仿真
1
计算机组成原理作业。Project3。logisim搭建32位单周期CPU,支持addu,subu,lui,ori,beq,jal,nop等指令。
2019-12-21 18:56:46 140KB CPU
1
MIPS32位单周期CPU 32位MIPS单周期CPU 可以实现16条指令
2019-12-21 18:53:48 19KB MIPS32位
1
单周期CPU,实现了lw,sw,add,sub,slt,jmp指令
2019-12-21 18:49:43 1.72MB 单周期 Verilog 计组 体系
1
北航计算机组成课程设计单周期CPU的Verilog代码实现,内包含源代码和相应的测试文件
2019-12-21 18:48:19 13KB 单周期CPU
1