详细设计文档说明,教你如何写详细设计说明书
2022-03-21 20:14:30 58KB 如何写软件开发的详细设计
1
汽车尾灯显示控制电路multisim仿真源文件+设计文档说明,multisim10及以上版本的软件可以正常打开仿真。 1. 设计内容要求 设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),有四种显示模式如下: 汽车正常运行时,尾灯全部熄灭; 右转弯时,右侧3个指示灯按右循环顺序点亮,每灯只亮0.5s; 左转弯时,左侧3个指示灯按左循环顺序点亮,每灯只亮0.5s; 临时刹车时,左右两侧所有指示灯同时闪烁。 2. 方案分析 汽车尾灯由3个按键控制,分别对应着运行、左转、右转、刹车功能。用开关选择控制汽车正常运行、左转弯、右转弯和刹车时尾灯的情况。 本次设计方案主要有四个模块:脉冲发生电路、开关控制电路、三进制电路和译码驱动电路。通过把这四个模块组合连接来实现汽车尾灯控制。首先,通过555定时器构成的多谐振荡器产生脉冲信号,该脉冲信号用于提供给74LS160d构成的三进制计数器和开关控制电路中的三输入与非门的输入信号,用于实现刹车时,汽车尾灯的闪烁功能。其次,74LS160构成的三进制计数器用于产生循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门以及74LS160d提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能。
EP2C8Q208_RTL8201CL_(Dual link DVI) Hub主控板频道PDF原理图PCB+封装库+BOM+设计文档说明, ALTIUM工程转的PDF原理图PCB文件+AD集成封装库,已在项目中验证,可以做为你的设计参考。封装库列表: Component Count : 41 Component Name ----------------------------------------------- 0603 0805 1206 1206_P 1206TAN CRS08 DDC10 DIODE0.1-VD DIODE0.4 DSC6-VD DVI-A EIAJ SOIC8 INDUCTOR2R2 LQFP48 MKDS3_2RMI POWER4D PWIC1 QFP208 QSOP8 RAD0.4 RB.1/.2-VD RJ45-VD RN4 SHIBIEDIAN SIP2 SIP3 SIP7 SIP8-1.27 SMC CASE 403 SOC-008 SOIC8 SOP16H SOT-23/P1.9 SOT23-5 SW2 TO-92C TQFP64-10X10 TQFP100 TSSOP48 WY XTAL3
EP2C8Q208_RTL8201CL_(Dual link DVI) Hub主控板Protel99S设计硬件原理图PCB+BOM+FPGA Verilog源码+设计文档说明, 硬件4层板设计,大小为204x113mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下: DS18B20 Q? DVI_PLUG ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS H1102 HEADER 6 INDUCTOR JTAG LED LT1086MC PACDN006 PNP PNP Transistor RES2 RES3-VD RES4 S25FL SII163B SWPB-VD TFP410 TFP410 XTAL4-VD ZENER2 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: clk_lvds.v clk_test.v crmu_03.v dvi_hub_03.v dvi_out_02.v Led_Ctrl_SV1.v pll.v 2.总体设计概述 本板作为DVI Hub控制板,主要功能是接收计算机输入的DVI数据,分三向下行输出 根据上述功能, Dual link DVI Hub电路板可以分为以下几个部分: 1.FPGA部分。主要包括一块FPGA(EP2C8QF256)和一个EPCS4、一个有源晶振20MHhz 2.DVI receiver 部分。主要包括2片panellink receiver(SII163B)including master and slave 3.DVI send 部分. 主要包括3片 (TFP410A) 4.存储器部分:一个flash存储器(S25FL040A)和一个IIC(AT24C18) 5.DVI 传输端口部分。包括4个DVI端子, 6.工控部分:1个温度传感器DS18B20 7.电源部分 : FPGA的bank1和4、百兆芯片和DVI receiver、DVI send用3.3V电压由一片LDO供电(加一开关电源芯片AOZ1010AI以备选)。 FPGA的bank2和3用1.5V电压由一片 LDO供电。 FPGA的核电压用1.25V电压由一片 LDO供电 8.百兆接口部分:主要包括1个百兆芯片(RTL8201CL)、1个RJ45端子和1个百兆线圈H1102。时钟由FPGA提供
RS232串口EPM1270T光耦旋转编码器CPLD设计数据采集板ALTIUM硬件原理图+PCB(2层板)+cpld逻辑源码+设计文档说明,2层板设计,大小为85x60mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,已制样板测试验证,可作为你产品设计的参考。主要器件型号列表: Library Component Count : 19 Name Description ---------------------------------------------------------------------------------------------------- ADM3202 AMS1117 CAP Capacitor CAPACITOR POL Capacitor CON10 Connector CON2 Connector CON3 Connector CON4 Connector CON6 Connector CON8 Connector DB9 EPM1270T144C5 MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5 LED LM2596 RES1 RES2 TIL191 XTAL ZENER1 Zener Diode 配套CPLD VERILOG逻辑QUARTUS工程文件: 220model.v aa_atest.cr.mti aa_atest.mpf aa_test.cr.mti aa_test.mpf altera_mf.v altufm_parallel0.bsf altufm_parallel0.qip altufm_parallel0.v altufm_parallel0_bb.v altufm_parallel0_inst.v asa_test.cr.mti asa_test.mpf asa_test.v at24c01_rw.done at24c01_rw.fit.smsg at24c01_rw.pin at24c01_rw.qsf at24c01_rw.qws at24c01_rw.v at24c01_tb.v atc240c_tb.cr.mti atc240c_tb.mpf A_TEST.cr.mti A_TEST.mpf a_test.v a_top_test.cr.mti a_top_test.mpf a_top_test.v clock_gen_select.v cycloneii_atoms.v db incremental_db lpm_counter0.bsf lpm_counter0.qip lpm_counter0.v lpm_counter0_bb.v lpm_counter0_inst.v lpm_counter0_wave0.jpg lpm_counter0_waveforms.html rs232rx.v rs232tx.v rs232_top.v rx_frame.v rx_frame_new.v rx_frame_new_new.v 技术要求: 1) 传送带速度为6-8米/分 2) 料的倾斜角度小于10度 3) 料间距为20毫米 4) 料宽20~200毫米 5) 要求6个打标点都要打在料宽的中心处 6)传感器到打标点的距离为200毫米 7) 通过串口可以调节传感器到打标点的距离 2、料宽数据采集板系统框图
verilog HDL 实现的双电梯1-9层控制器源码+仿真+设计文档说明,电梯控制器可分为两个部分,一个是控制器,一个是数据通路。数据通路主要完成对当前电梯所在楼层的远算。控制器则根据外部输入信号和当前状态向数据通路发送控制信号,控制电梯的上升、下降或停留。由于有a,b两部电梯,对每部电梯我们都采用控制器+数据通路的结构。两者的控制器和数据通路分别独立。为方便后续的设计,当前楼层通过9位one hot码表示,如1楼为000000001。