多数FPGA不支持浮点运算,这使FPGA在数值计算、数据分析和信号处理等方面受到了限制,由于FPGA中关于浮点数的运算只能自行设计,因此,研究浮点加法运算的FPGA实现方法很有必要。
2023-02-23 18:06:09 183KB 高速流水线 浮点加法器 FPGA实现 文章
1
32位浮点加法器VHDL源代码部分,双精度
2021-04-05 18:14:50 18KB 32位 浮点加法器 代码
1
32位浮点加法器 verilog代码 无仿真 可用 很好用 欢迎使用
2021-03-19 12:23:57 3KB 32 verilog
1
完整的32位浮点加法器设计DESIGN OF SINGLE PRECISION FLOAT ADDER (32-BIT NUMBERS) ACCORDING TO IEEE 754 STANDARD USING VHDL
2021-03-18 13:59:04 3.17MB 单精度浮点
1