介绍了VHDL语言的特点及优势,表明了EDA技术的先进性,采用自上而下的设计思路,运用分模块的设计方法设计了数字时钟系统,并在QuartusⅡ环境下进行编译和仿真,完成了24 h计时和辅助功能设计,证明了方案的可行性,体现出了“硬件设计软件化”的新趋势。
2022-12-07 10:19:35 1.38MB EDA技术 数字时钟 VHDL
1
数字时钟_VHDL程序 数字时钟_VHDL程序 数字时钟_VHDL程序
2022-11-23 18:44:05 670KB 数字时钟_VHDL程序
1
用FPGA设计一电子时钟,时间有时、分、秒,并分别用七段数码管显示出来。要求:时间增减可调,数码管动态显示。
2022-03-24 11:21:18 580KB 数字时钟 VHDL 可调时钟
1
本资料归于网络整理,仅供参考学习用。如有侵权,请联系删除!! qq:1391074994 1. 资料都是有论文和程序的,程序大部分是quartus的工程,有几个是ise或者vivado的工程,代码文件就是里面的V文件。 2. 我收集的每个小项目都会开源出来,欢迎关注我的博客并下载学习。 3. 每个项目的实际的项目要求和实现的现象我就不挨个去描述了,太多了!!40多个小项目。(一个包里面只有一个小项目哈) 4. 有的项目可能会有多个程序,因为用的代码有点差异,比如密码锁,就会分显示的数码管的显示个数的不同以及用的是verilog个vhdl 的差别: 5. 报告的话博客专栏里面只是展示了一小部分。链接:https://blog.csdn.net/weixin_44830487/category_10987396.html?spm=1001.2014.3001.5482 这个没有报告,只有代码。
2021-06-26 09:02:38 2.67MB fpga
1
一份完整的EDA实验报告——数字时钟设计,含源代码(VHDL语言)。中南大学的同学下载后可以直接使用。
2021-06-22 01:44:31 222KB EDA实验、数字时钟、VHDL
1
数字时钟设计,是VHDL语言写的,绝对和运行》这是我的上机考试做的
2019-12-21 21:56:02 756KB 数字时钟[VHDL]
1
基本功能完全具备,仿真波形你大可自己编译,程序段都是对的,希望对您有用
2019-12-21 20:29:22 7KB EDA ,数字时钟
1
用Quartus2编的数字时钟 VHDL语言 可以开始停止,清零,调整时间,还会整点报时
2019-12-21 20:27:56 347KB VHDL 数字时钟 Quartus2
1