摘 要 本课程设计主要采用EDA技术设计一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。在课程设计中,系统开发平台为Windows XP,程序设计采用VHDL语言,程序运行平台为MAX+plusⅡ。然后编写程序实现电子琴的各项功能,使不同的音阶对应不同频率的正弦波,按下不同的键时发出对应频率的声音。程序通过调试运行,时序仿真,电路功能验证,顺利地实现了设计目标。 关键词 电子琴;EDA;VHDL;音阶;频率
2022-04-06 00:42:03 122KB fpga开发
西电数电大作业————具有自动乐曲演奏功能的电子琴,基于当前学期所学习的数电内容做成的,供大家参考。 灵活运用 EDA 技术编程实现一个简易电子琴的乐曲演奏,构造一个电子琴 电路,不同的音阶对应不同频率的正弦波。按下每个代表不同,音阶的按键时, 能够发出对应频率的声音,故系统可分为乐曲自动演奏模块(AUTO)、音调发 生模块(TONE)和数控分频模块(FENPIN)三部分。
2021-08-22 18:09:57 2.21MB 西电 数电大作业
1
两只老虎乐曲的演奏,用汇编代码实现在电脑的主板上发声。
2021-06-25 11:18:43 2KB 汇编语言课程设计
1
用FPGA驱动蜂鸣器演奏乐器。 用FPGA驱动蜂鸣器演奏乐器,一首乐曲包含三个要素:乐曲声音频率,发音时间的长短,停顿的时间。按照乐谱,设计相应电路控制speaker信号频率,某一频率持续时间长短,各频率间间隔大小,就可以推动蜂鸣器演奏乐曲。
2021-06-07 18:49:46 395KB EDA FPGA quartu
1
这是我和自己的兴趣小组共同设计的一个实验方案,并在硬件电路上进行了测试。同时这也是一个VHDL的综合开发程序!有助于提高对VHDL语言层次化设计的认识!
2021-06-02 21:40:18 441KB VHDL 乐曲演奏
1
基于Verilog__HDL的乐曲演奏电路设计,两首音乐,还可以通过数码管显示其音阶!
2021-05-05 22:52:34 2.87MB Verilog乐曲
1
随着EDA技术的进展,基于可编程的数字电子系统设计的完整方案越来越受到人们的重视。与利用微处理器(CPU或MCU)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不借助于功能强大的EDA工具和硬件描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现。如何使用EDA工具设计电子系统是人们普遍关心的问题。本设计重点介绍用杭州康芯电子有限公司生产的KX_7C5EE+家庭实验开发板,利用数控分频器设计硬件乐曲演奏电路(电子琴),使读者初步了解VerilogHDL硬件描述语言和Quartus II开发环境。
1
为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl
2021-02-23 10:09:09 4.7MB VHDL 自动乐曲演奏 电子琴
1
乐曲演奏硬件电路(轻轻听).rar
2021-02-04 18:03:54 5.02MB .
1
题目要求:自动播放设计好的乐曲;至少能播放两个以上的乐曲;含代码文件和测试结果,仅供参考
2019-12-21 20:27:59 1005KB FPGA
1