使用vivado编写FPGA代码进行序列检测,其中附件中给出了具体的状态机结构,以及文件的提示。
2022-04-10 18:46:26 194KB FPGA vivado 序列检测 10101
1
1024点正弦波波表数据(VIVADO软件coe文件)
2022-01-23 19:01:08 7KB fpga开发 verilog DDS
1
里面涵盖了vivado关联第三方代码编辑器,vivado关联第三方仿真软件,vivado软件的基本开发流程。我在这里找到了chipscope波形的抓取。 对vivado2018.2的基本使用流程做了一个详细说明.以一个流水灯入门工程为例子,说明了工程的建立,综合,实现,下板调试.
这是计算机组成原理课设,基于verilog实现的单周期CPU代码,实现了add, addu, addi, addiu, sub, slt, and, or, xor, beq, j, sw, lw, lui,andi,addu,ori,xori,共18条指令,能够运行简单的冒泡排序。内含单周期图。
2021-06-12 09:04:00 503KB verilog vivado 单周期CPU
这是计算机组成原理课设,基于verilog实现的单周期CPU代码,实现了add, addu, addi, addiu, sub, slt, and, or, xor, beq, j, sw, lw, lui,andi,addu,ori,xori,共18条指令,能够运行简单的冒泡排序。内含实验报告。
包含Xilinx 官方全部Vivado软件的用户指导手册,详细介绍了Vivado环境下FPGA使用和设置。
2019-12-21 21:05:42 62.21MB Vivado User Guide
1