芯片厂框架 使用Chipyard 要开始使用Chipyard,请参阅Chipyard文档网站上的文档: ://chipyard.readthedocs.io/ 什么是Chipyard Chipyard是用于基于Chisel的片上系统的敏捷开发的开源框架。 它将使您能够利用Chisel HDL,Rocket Chip SoC生成器和其他项目来生产 SoC,该产品具有从MMIO映射的外设到定制加速器的所有功能。 Chipyard包含处理器内核( , , ),加速器( , , ),内存系统以及其他外围设备和工具,以帮助创建功能齐全的SoC。 Chipyard支持多种并发的敏捷硬件开发流程,包括软件RTL仿真,FPGA加速仿真( ),自动化VLSI流程( )以及用于裸机和基于Linux的系统的软件工作负载生成( )。 Chipyard由的的积极开发。 资源 Chipyard
2022-05-08 11:24:11 1.47MB boom rocket rocket-chip chip-generator
1