Verilog实现uart串口协议,波特率可选9600、19200、38400、115200。8位数据为,1位校验位,1位停止位。核心代码包括UART ,TX,RX,Baud,FIFO , 以及uart_tb测试激励文件,可以做为你的设计参考。