UART串口32路fpga开关控制电路protel99se原理图+封装,Verilog FPGA控制逻辑工程源码+说明文档资料,资料提供RPOTEL版原理图及PCB器件封装(项目中PCB为2层板,PCB版图不于提供) 系统主要硬件包括 1、核心部件为ALTERA公司的MAXII系列CPLD,型号为EPM1270T144C5,串口通信逻辑及系统功能都以VERILOG 语言实现,串口波特率为115200K 2、该硬件支持16路设备的测试,同时提供扩展接口,通用硬件级连可以实现32路设备的应用测试。 3、每一路设备对应一个模拟开关和一个共阳极红率双色LED灯,模拟开关来控制设备的上下电,双色LED灯