UART串口32路fpga开关控制电路protel99se原理图+封装,Verilog FPGA控制逻辑工程源码+说明文档资料.zip

上传者: GJZGRB | 上传时间: 2021-02-14 11:04:43 | 文件大小: 2.9MB | 文件类型: ZIP
UART串口32路fpga开关控制电路protel99se原理图+封装,Verilog FPGA控制逻辑工程源码+说明文档资料,资料提供RPOTEL版原理图及PCB器件封装(项目中PCB为2层板,PCB版图不于提供) 系统主要硬件包括 1、核心部件为ALTERA公司的MAXII系列CPLD,型号为EPM1270T144C5,串口通信逻辑及系统功能都以VERILOG 语言实现,串口波特率为115200K 2、该硬件支持16路设备的测试,同时提供扩展接口,通用硬件级连可以实现32路设备的应用测试。 3、每一路设备对应一个模拟开关和一个共阳极红率双色LED灯,模拟开关来控制设备的上下电,双色LED灯

文件下载

资源详情

[{"title":"( 102 个子文件 2.9MB ) UART串口32路fpga开关控制电路protel99se原理图+封装,Verilog FPGA控制逻辑工程源码+说明文档资料.zip","children":[{"title":"usbkey_ctrl.v <span style='color:#111;'> 35.92KB </span>","children":null,"spread":false},{"title":"usbkey_factory_test_top.fit.summary <span style='color:#111;'> 403B </span>","children":null,"spread":false},{"title":"usbkey_ctrl.v.bak <span style='color:#111;'> 11.33KB </span>","children":null,"spread":false},{"title":"rs232rx.v <span style='color:#111;'> 6.22KB </span>","children":null,"spread":false},{"title":"usbkey_factory_test_top.v <span style='color:#111;'> 3.58KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • m0_37702895 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-24
  • pipinanjing :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-09-22

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明