ATA接口VHDL源代码 接口 , 源代码
2023-04-01 13:06:06 817KB ATA 接口 VHDL 源代码
1
(1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。
2023-01-12 10:56:24 4KB 时钟 VHDL 源代码
1
opencore上的8051单片机CPU的VHDL源码,opencore上的8051单片机CPU的VHDL源码,
2022-05-20 18:59:38 6.68MB 8051,VHDL
1
计数器 VHDL源代码
2021-12-06 15:14:02 259B VHDL
1
elecfans.com-《直流步进电机控制器实例(VHDL源代码
2021-11-01 23:46:11 4KB 直流步进电机控制器
1
北京邮电大学数字电路综合实验洗衣机控制器实验报告【完整的VHDL源代码
2021-10-27 11:16:04 1.51MB 北邮 数电 洗衣机 VHDL
1
VHDL实现各种乘法器的源代码,也是同学传给我的,给大家参考一下
2021-10-05 15:27:59 32KB VHDL 源代码
1
直接就可以在试验箱运行的文件,引脚都分配好了,大家赶快下载啊!
2021-09-10 10:37:33 674KB VHDLDDS
1
共包含9条指令,包含控制部分,数据通路,ALU等模块,可供设计参考
2021-07-06 23:50:45 2.81MB MIPS 单周期 VHDL
1
此程序已经在ATEAL公司生产的FPGA上验证通过,DS18B20的板是自己做的。
2021-06-15 20:31:58 9KB DS18B20 VHDL 源代码 状态机
1