不要再找了,ALIENTEK阿波罗STM32F767开发板芯片资料都在这里,内含51个文件, W9825G6KH MP2359 MP3302_r0.98 MPU-9250_九轴产品中文说明书 MPU9250规格书 MT29F4G08ABADAWP nRF24L01P(新版无线模块控制IC) STM32F7中文参考手册
2023-02-20 15:20:37 53.87MB stm32 arm 嵌入式硬件 单片机
1
stm32开发板原理图和PCB AD都不会用的人别买了。 记住,把 原理图和pcb文件全部拖拽到一个工程里面,才是完整的工程。 如果连.PcbDoc结尾都想不清楚是什么文件,还是别买。 AD用的不熟,也别买。
2022-09-26 16:39:59 10.66MB 正点原子 stm32f767 电路方案
1
ALIENTEK阿波罗STM32F767开发板例程实验0----实验50,每次上传最大260M,分两部分上传,这是例程0到50部分.包括 实验30 CAN实验,实验27 光环境传感器实验,实验41 NAND FLASH实验,实验42 FATFS实验,实验47 音乐播放器实验,实验46 照相机实验,实验45 硬件JPEG解码实验,实验50 视频播放器实验 实验35 MPU9250九轴传感器实验,等等。
2021-12-22 16:36:07 46.45MB ALIENTEK阿波罗 STM32F767开发板 例程0-50
1
-1,ALIENTEK阿波罗STM32F767开发板入门资料 | |--MDK5.21A安装手册.pdf---------------------------------安装编译器指导文档 | |--STLINK调试补充教程.pdf-------------------------------ST LINK安装使用教程 | |--阿波罗STM32F767开发板常见问题汇总.pdf----------------阿波罗开发板客户常见问题及解决办法,请仔细阅读 | |--阿波罗STM32F767开发板入门教程&FAQ;.pdf----------------拿到开发板后必读 | |---2,ALIENTEK阿波罗STM32F767开发板视频教程 | |--1,程序下载方法1:ISP串口下载------------------------教您如何安装CH340驱动和使用串口下载代码 | |--2,程序下载方法2:JTAG程序下载-----------------------教您如何安装ST LINK驱动和使用ST LINK下载代码 | |--3,新建工程模板-基于固件库---------------------------教您如何新建基于HAL库的工程模板 | |--4,新建工程模板-基于寄存器---------------------------教您如何新建基于寄存器的工程模板 | |--阿波罗STM32F767开发板综合实验简介.rmvb---------------开发板综合实验演示视频,体验STM32F767的强大 | |--其他视频教程-----------------------------------------见:B盘等其他光盘 (待录制....) | |---3,ALIENTEK阿波罗STM32F767开发板原理图 | |--ATK-2.8' TFTLCD_V2.3.pdf-----------------------------ALIENTEK 2.8寸MCU屏模块原理图&PCB;尺寸图 | |--ATK-3.5' TFTLCD_V1.5.pdf-----------------------------ALIENTEK 3.5寸MCU屏模块原理图&PCB;尺寸图 | |--ATK-4.3' TFTLCD_V1.6.pdf-----------------------------ALIENTEK 4.3寸MCU屏模块原理图&PCB;尺寸图 | |--ATK-7' TFTLCD_V2.3.pdf-------------------------------ALIENTEK 7寸MCU屏模块原理图&PCB;尺寸图 | |--ATK-4342-RGBLCD_V1.4.pdf-----------------------------ALIENTEK 4.3寸RGB屏模块原理图&PCB;尺寸图 | |--ATK-7' RGBLCD_V1.3.pdf-------------------------------ALIENTEK 7寸RGB屏模块原理图&PCB;尺寸图 | |--Apollo STM32F4&F7;_MotherBoard_V1.5.pdf---------------ALIENTEK 阿波罗STM32F4/F7开发板底板原理图&PCB;尺寸 | |--Apollo STM32F767_CORE_V1.6.pdf-----------------------ALIENTEK STM32F767核心板原理图&PCB;尺寸 | |--ATK-OV5640-AF_V1.1.pdf-------------------------------ALIENTEK OV5640摄像头模块原理图&PCB;尺寸 | |--阿波罗STM32F767开发板IO引脚分配表.xlsx---------------ALIENTEK 阿波罗IO分配总表,必看 | |---4,程序源码 | |--1,标准例程-寄存器版本.rar---------------------------寄存器版本标准例程(主例程,共63个) | |--2,标准例程-HAL库版本.rar----------------------------HAL库版本标准例程(主例程,共64个) | |--3,扩展例程.rar--------------------------------------含普通、LW
2021-11-21 21:24:41 782KB 开发板
1
基于正点原子STM32F767开发板的jpge硬件解码功能调试。已经基于UDP协议,实现将笔记本的摄像头图片实时显示在屏幕上。
2021-11-01 16:05:40 7.4MB STM32F767 JPEG 正点原子开发板
1
STM32F7开发指南-HAL库版本 高清文档 ALIENTEK 阿波罗 STM32F767 开发板教程
2021-08-18 12:52:02 47.04MB STM32F7 HAL库版本 STM32F767  开发板教程
1
STM32F767单片机核心板+开发底板PDF原理图+AD集成封装库+主要器件技术手册:3710FXXX037XXFX01.pdf 3710MXXX046XXFX01.pdf AMS1117.pdf AP3216C.pdf AT24C02.pdf AT8574_8574A_DS001V1.2.pdf CAT6219.pdf CH340.pdf DHT11.pdf DS1820.pdf DS18B20.pdf ET2046.pdf GT811.pdf GT9147数据手册.pdf GT9147编程指南.pdf H27U4G8F2E(替代MT29F4G08).pdf IS62WV51216.pdf K4S641632.pdf LAN8720A.pdf MAXII(EPM570).pdf MP2359 AN.pdf MP2359.pdf MP3302_r0.98.pdf MPU-9250_九轴产品中文说明书.pdf MPU9250规格书.pdf MT29F4G08ABADAWP.pdf nRF24L01P(新版无线模块控制IC).PDF OTT2001A IIC协议指导.pdf OTT2001A_V02.pdf OV2640.pdf OV2640_DS(1.6).pdf OV5640_CSP3_DS_2.01_Ruisipusheng.pdf OV7670.pdf OV7670_英文.pdf PAM3101DAB28.pdf PCF8574.pdf PCF8574中文手册.pdf PS-MPU-9250A-01.pdf RT9193.pdf SMBJ3.3-440_series.pdf SMBJ5.0ca.pdf SN74LVC1G00.pdf SP3232.pdf SP3485.pdf STM32F767IGT6.pdf TJA1050.pdf W25Q256.pdf W9825G6KH.pdf WM8978G.pdf WM8978中文资料.doc XC6206P132MR.pdf XPT2046.pdf
7' TFTLCD电容触摸屏模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-7' TFTLCD电容触摸屏模块原理图 2,程序源码 ATK-7' TFTLCD 模块使用说(mini V3)_AN1510C.pdf ATK-7' TFTLCD 模块使用说明(战舰V3&精英版)_AN1510A.pdf ATK-7' TFTLCD 模块使用说明(探索者开发板)_AN1510B.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F429)_AN1612.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F767)_AN1612A.pdf ATK-7' TFTLCD 模块用户手册V2.0.pdf (寄存器版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar