分析了SGPIO总线的数据传输机制,用CPLD模拟SGPIO总线协议来实现并行数据的串行传输,并将其与串并数据转换集成芯片进行对比,说明了前者的应用优势,并且指出了其应用场合。采用Lattice Diamond IDE进行了Verilog HDL代码编写和综合,并用ModelSim进行时序仿真,最终下载到CPLD器件进行测试。
2022-03-16 14:42:59 232KB SGPIO总线 CPLD 串并数据转换 板级通信
1
SFF-8485(SGPIO 2006)
2022-02-25 09:09:12 186KB SGPIO
1
SFF-8485 串行GPIO(SGPIO)总线规范-中文版.pdf
2021-06-25 11:12:37 4.88MB SFF-8485 串行GPIO SGPIO
1
SAS/SATA相关设计 英文版详细说明SGPIO协议
2021-06-22 16:43:35 191KB SFF-8485
1