课程设计,用VERLOG语言编写的RAM可逆计数器,可以预置数
2021-06-26 19:03:19 40KB verilog语言
1
采用RAM实现计数器及FPGA功能:用一个10×8的双口RAM完成10个8位计数器,计数器的初值分别为1~10,时钟频率为1MHz,计数器计数频率为1Hz。用FPGA开发板上的按键作为计数器计数值的输出选择控制,数码管(或led)作为选择计数器的计数值输出。
2020-01-03 11:36:27 165KB RAM、计数器
1