基于FPGA的信号发生器原理框图如图3-15a所示。硬件电路包括FPGA、按键、7 段 LED 数码管、高速D/A转换器。利用EDA工具软件QuartusII13.0 完成FPGA 内部数字系统设计,使信号发生器达到要求的功能和指标。 图 3-15a 信号发生器原理框图 依次完成以下实验内容 (1)设计固定频率锯齿波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的锯齿波, 原理框图如图3-15b 所示。CLK0 为频率固定的外部时钟,用示波器观测D/A 转换器输出 的波形。 图3-15b 锯齿波发生器原理框图 (2)设计固定频率正弦波发生器,产生固定频率(f=5MHz/256≈19.5kHz)的正弦信号,正弦信号的每个周期由256 个采样点组成。正弦信号发生器的原理框图如图3-15c所 示。系统中需要增加波形数据存储器。 图3-15c 正弦波发生器原理框图 (3)设计DDS正弦波发生器,利用DDS技术实现输出正弦信号频率步进可调。通过 按键KEY0实现输出正弦信号频率从1kHz、2 kHz 、…、10kHz 变化。输出频率采用两位LED 数码管显示。
2023-03-28 22:41:21 15.73MB eda FPGA quartus DDS
1
运用quartus的dds技术来实现ask、fsk、psk以及fm
2021-08-18 19:28:30 1.26MB FPGA quartus dds
1
产生的信号可以是正弦波或方波、三角波、锯齿波;可以用SignalTap逻辑分析。可以用Model Sim仿真。全部打包在文件中。工程适用版本为Quartus II 13.0,不可低于该版本。 原理:采用DDS技术,将所需生成的波形写入ROM中,按照相位累加原理合成任意波形。 此方案得到的波形稳定,精度高,产生波形频率范围大,容易产生高频。 本实验在设计的模块中,包含以下功能: (1)通过 freq 信号输入需要的频率的值; (2)通过 wave_sel 信号选择所需的波形; (3)通过 amp_adj 信号选择波形放大的倍数。 在该设计中,包含 3 个模块: 频率控制器,根据输入的频率值输出步进值 step_val 。 相位累加器,根据步进值 step_val 控制对应地址的变化。 波形放大器,对 rom 输出的数据进行放大。
2019-12-21 20:30:58 10.14MB Quartus dds 信号发生器
1
在quartus 里生成正弦波,三角波和锯齿波,每个模块也可以单独生成。
2019-12-21 20:18:37 4.85MB quartus DDS
1
完整的工程文档,平台是QuartusⅡ13.0,完整的DDS代码,包含四种波形输出,打开应用编程即可实现,亲尝试
2019-12-21 19:54:58 411KB Quartus;DDS
1
用quartus 13.1 写的代码,DDS信号发生器模块,里面有详细注释,原理解释。
2019-12-21 19:34:42 10.88MB verilog FPGA Quartus
1