Nexys4-DDR的详细介绍,包括了管脚的对应内容,各个板块的基础原理
2022-03-16 14:17:31 2.01MB Nexys4-DDR 开发介绍
1
Digilent FPGA开发板Nexys4 DDR 官方手册 1 Overview 2 Power Supplies 3 FPGA Configuration
2021-12-16 16:18:28 1.88MB 手册
1
Nexys4 DDR板子模块介绍和约束文件 Nexys4 DDR板子模块介绍和约束文件
2021-05-22 21:56:41 3.26MB FPGA
1
使用vivado 2017.4开发环境,用到了microblaze软核微处理器。
2020-04-23 16:22:55 99.49MB FPGA
1
利用nexys4开发板实现数字时钟、秒表和闹钟。利用拨码开关选择对应功能。开发环境Vivado2015.2
2019-12-21 21:14:31 2.98MB 数字时钟
1
FPGA串口模块,原创作者为CrazyBingo,在《FPGA案例技巧与开发实例详解》中的串口模块基础上改造,加入串口缓冲区FIFO,无须关心使能信号。已在Nexys4 DDR开发板上验证,开发环境为Vivado 2015.4
2019-12-21 21:14:31 21.17MB FPGA 串口 FIFO
1
Nexys4 DDR温度显示工程文件!
2019-12-21 20:42:33 2.14MB Nexys4 DDR
1
Nexys4 DDR的官方说明文档,提供开发板的介绍及各模块的说明等。
2019-12-21 18:52:53 2.07MB 嵌入式
1