基于FPGA设计一个能够检测出重叠匹配串的序列检测器。首先从KMP字符串模式匹配算法出发,推导出next函数值与序列检测器状态之间的关系,并针对匹配串重叠的情况进行修改,得到有限状态机的状态转换图,最后用VHDL语言描述并仿真验证。
2023-02-23 08:21:38 321KB KMP模式匹配算法
1
这是重庆大学数据结构实验报告,题目是串的操作与KMP模式匹配算法。里面有完整的实验流程,包括源码及结果截屏
2021-11-24 12:23:37 198KB 串的操作与kmp模式匹配算法
1
主要讲述字符串模式匹配的KMP算法的基本思想,算法过程。没有进一步细化和改进。
2021-10-15 20:27:22 495KB KMP算法
1