I2S协议介绍,适合嵌入式开发,音频驱动开发,想了解I2S的小伙伴。 I2S全称Inter-IC Sound, Integrated Interchip Sound,或简写IIS,是飞利浦在1986年定义(1996年修订)的数字音频传输标准,用于数字音频数据在系统内部器件之间传输,例如编解码器CODEC、DSP、数字输入/输出接口、ADC、DAC和数字滤波器等。 I2S是比较简单的数字接口协议,没有地址或设备选择机制。在I2S总线上,只能同时存在一个主设备和发送设备。主设备可以是发送设备,也可以是接收设备,或是协调发送设备和接收设备的其它控制设备。在I2S系统中,提供时钟(SCK和WS)的设备为主设备。更详细的介绍,请参考此文档
2022-10-03 19:00:34 495KB I2S 音频驱动
1
I2S协议规范, I2S协议规范, I2S协议规范, I2S协议规范
2022-03-10 09:58:52 60KB I2S协议规范
1
本资源为I2S标准规范,对于从事I2S驱动开发或应用的朋友们会有很大帮助
2022-01-13 09:18:30 60KB I2S 协议 规范 spec
1
此代码为fpga设计标准I2S协议音频编码器,已经在Cyclone ® IV EP4CE6 Device硬件平台测试OK. 欢迎学友探讨QQ 1320427500
2021-07-17 20:39:07 3KB fpga音频设计
1
此代码为fpga设计标准I2S协议音频解码器,已经在Cyclone ® IV EP4CE6 Device硬件平台测试OK. 欢迎学友探讨QQ 1320427500
2021-03-09 09:38:57 3KB fpga音频设计
1
I2S详细协议,硬件音频开发可以好好参考一下。
2019-12-21 20:26:11 474KB I2S协议
1
IIS的资源合集,包括中英文协议,IIS音频学习的笔记和一些波形分析记录
2019-12-21 19:29:16 2.16MB IIS I2S
1