此IP核无需HDMI接口驱动芯片即可实现,视频信号的HDMI传输。 注:该IP核基于XILINX Vivado开发环境。
2024-01-03 18:10:57 372KB FPGA HDMI xilinx vivado
1
基于 FPGA 的高清 HDMI 接口转换器的设计与实现。 系统介绍利用FPGA设计HDMI接口的转换 FPGA HDMI 转换器
2023-01-04 22:27:53 1.68MB FPGA HDMI 转换器 接口转换器
1
此资源是对一些特殊数据进行的分类,工程中的OTSU算法是利用HLS生成的IP核,在数据读入时进行一些处理,不能满足所有情况下的需求。在具体的工程中,需要重新更新OTSU的IP核
2022-07-12 09:13:48 181.13MB verilog fpga hdmi
1
fpga_hdmi FPGA HDMI驱动
2022-04-08 20:03:23 92KB SystemVerilog
1
生成基于 VGA 时序的两边白中间蓝的彩色条,并经过 RGB2HSV 颜色空间转换,在同时配置好 HDMI 寄存器的时候,最终将视频处理之后的数据通过 HDMI 显示在显示屏上
2021-04-27 16:20:48 27.48MB RGB HSV FPGA HDMI
1
# FPGA_HDMI 本项目是基于XILINX A7芯片的HDMI控制模块
2021-04-20 20:03:05 1.69MB FPGA/HDMI
1
PYNQ-Z2开发板+1024*600显示屏,亲自实验成功!
2021-01-28 04:43:16 2MB fpga hdmi
1
PYNQ-Z2 HDMI DEMO,无需专门的HDMI控制芯片,直接由FPGA实现
2019-12-21 22:23:20 29.92MB ZYNQ FPGA HDMI
1
系统介绍利用FPGA设计HDMI接口的转换
2019-12-21 22:05:10 2.1MB FPGA HDMI 转换器
1
Digilent官方的HDMI IP核 rgb2dvi PWM等等,HDMI的IP核经过程序验证没问题。
2019-12-21 21:43:05 95.25MB FPGA HDMI Digilent
1