EDA技术与VHDL 陈 杨
2024-01-25 23:34:02 30.17MB eda课件
1
内含DSPbuilder的使用方法,基于Simulink仿真
2023-01-24 12:47:07 1.57MB EDA技术与VHDL DSPBuilder Simulink
1
介绍关于EDA和VHDL的一些知识,以QuartusII为例。。。
2023-01-24 12:45:58 1.41MB VHDL
1
潘松、黄继业的《EDA技术与VHDL》(第2版)完整PPT:第1章 概述;第2章PLD硬件特性与编程技术;第3章VHDL基础;第4章 Quartus使用方法;第5章 VHDL状态机;第6章 16位CISC CPU设计;第7章 VHDL语句;第8章 VHDL结构;第9章 DSP Builder设计初步;第10章 DSP Builder设计深入。各章节内容完整,我自己按照这个学习了一遍。
2022-10-21 16:47:50 11.52MB 潘松、EDA、VHDL、PPT
1
对EDA技术和VHDL基础做了详细讲解,给初学者提供简明易懂的学习资料
2022-06-01 01:56:18 6.11MB EDA VHDL
1
VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写,意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕业设计的内容是在简要介绍了VHDL语言的一些基本语法和概念后,进一步应用VHDL,在MAX+plusII 的环境下设计一个电子钟,最后通过仿真出时序图实现预定功能。电子钟的时间显示用到了七段数码管(或称七段显示器)的电路设计,内部的时间控制输出则用到了各种设计,包括:时钟分频模块、计时模块、按键模块和显示模块四个部分。
2022-05-18 13:01:35 166KB EDA 技术;设计;VHDL 语言
1
EDA技术与VHDL学生考试卷答案 很适合各位大学生的复习使用
2022-01-11 09:22:13 40KB eda VHDL
1
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。电路通过使用数字元件,采用三个计数器来构成完成二十四小时的数字钟设计,并且将译码器和二选一数字选择器配合使用来完成动时间写出。此外,使能端和复位端控制信号用来控制电路,使得该电路可以完成保持、清零、预置时间、等一系列的功能。
1
对于一些硬件描述语言的新手,有一定的入门和启示作用,希望对大家有所提高
2021-10-18 15:31:13 17.06MB vhdl
1
EDA技术与VHDL(第2版)课件与习题答案 潘松黄继业 清华大学出版社
2021-09-16 08:53:43 3.53MB EDA VHDL(第2版)
1