EDA数字时钟有时、分、秒计数显示功能,小时为24进制,分钟和秒为60进制以24小时循环计时 2)设置复位、清零等功能 3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间 4)时钟计数显示时有LED灯显示;
2022-05-11 11:58:36 465KB EDA数字时钟
1
用Quartus软件设计基本数字时钟和Verilog HDL设计扩充功能的全过程
2021-12-14 11:42:43 1.32MB 数字时钟设计 EDA EDA设计时钟
1
EDA 数字时钟具有整点报时,设置时钟,设置闹钟,整点报时6声,响一秒,停一秒。
2021-12-14 11:35:49 1.67MB EDA 数字时钟
1
VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习
2021-12-12 13:55:05 4KB vhdl eda 数字时钟 较时
1
数字时钟不是数字的始祖 的的的的的的ddddddd、
2021-10-19 17:57:05 1.22MB 时钟
1
包含程序和课程设计报告 (1)基本要求:最大为 1 小时,精度要求为 0.01 秒,具有开始/暂停和清零功能,要求 能在数码管上面正确显示。 (2)在完成基本要求的基础上,可进一步增加功能(如能够存储多个数据并能够回查)、提 高性能。
2021-08-04 13:02:48 10.55MB EDA
1
EDA课程设计 课题1:数字钟设计 设计要求: 1. 具有时、分、秒,计数及数码管显示功能,以 24 小时循环计时。 2. 具有清零,调节小时、分钟功能
2021-07-09 16:19:11 715KB EDA 数字时钟 数字频率计
1
基于EDA的数字时钟设计,很好的源代码,已经经过调试了,可以直接运行。
2021-06-04 18:42:57 177KB eda 数字时钟 时钟 报告
1
数字时钟大作业,内含完整代码!!
2021-04-23 21:03:24 7.04MB EDA
1
基于VHDL语言的12、24小时数字时钟设计,完整的程序,下载即可用。
2020-01-03 11:17:09 2.27MB EDA 数字时钟
1